diff --git a/string_sender.vhd b/string_sender.vhd index 96d87ba..ffb489a 100644 --- a/string_sender.vhd +++ b/string_sender.vhd @@ -45,7 +45,7 @@ architecture string_sender_rtl of string_sender is begin string_sender_main_proc: process(i_clk) - constant c_msg : string(1 to 13) := "Hello World!" & LF; + constant c_msg : string(1 to 14) := "Hello World!" & LF & CR; variable v_ind : integer range 0 to c_msg'length := 1; variable v_old_clk : std_logic := '1'; variable z_sender : z_sender_t := idle;