From 6f52b3b2a8b43ff3a6bd5029857a3c58264d2b05 Mon Sep 17 00:00:00 2001 From: Maximilian Stiefel Date: Sun, 9 Jul 2017 19:27:36 +0200 Subject: [PATCH] Mechanics developed further. --- cad/mechanics_rev3/dimensions.scad | 60 +++ cad/mechanics_rev3/main.scad | 69 +++ cad/mechanics_rev3/open_box.scad | 41 ++ cad/mechanics_rev3/roundy.scad | 30 ++ cad/mechanics_rev3/screw_holes.scad | 24 + docs/bom/.~lock.bom.csv# | 1 - docs/bom/bom.csv | 6 +- .../analog_board_v0.2.kicad_pcb | 4 +- .../analog_board_v0.2.kicad_pcb-bak | 238 +++++----- pcbs/analog_board_v0.2/highCurrentPart.bak | 2 +- pcbs/analog_board_v0.2/highCurrentPart.sch | 2 +- .../output/gerber/analog_board_v0.2-B.Cu.gbr | 2 +- .../gerber/analog_board_v0.2-B.Mask.gbr | 2 +- .../gerber/analog_board_v0.2-B.SilkS.gbr | 2 +- .../gerber/analog_board_v0.2-Edge.Cuts.gbr | 2 +- .../output/gerber/analog_board_v0.2-F.Cu.gbr | 2 +- .../gerber/analog_board_v0.2-F.Mask.gbr | 2 +- .../gerber/analog_board_v0.2-F.SilkS.gbr | 2 +- .../output/gerber/analog_board_v0.2-NPTH.drl | 2 +- .../output/gerber/analog_board_v0.2.drl | 2 +- .../gerber/gerber_analog_board_v0.2.zip | Bin 0 -> 86963 bytes .../analog_board_v0.22-cache.lib | 13 + .../analog_board_v0.22/analog_board_v0.22.bak | 82 +++- .../analog_board_v0.22.kicad_pcb | 4 +- .../analog_board_v0.22.kicad_pcb-bak | 28 +- .../analog_board_v0.22/analog_board_v0.22.net | 272 +++++------ .../analog_board_v0.22/analog_board_v0.22.sch | 82 ++-- pcbs/analog_board_v0.22/highCurrentPart.bak | 96 ++-- pcbs/analog_board_v0.22/highCurrentPart.sch | 96 ++-- .../output/.~lock.analog_board_v0.22.csv# | 1 - .../output/gerber/analog_board_v0.22-B.Cu.gbr | 2 +- .../gerber/analog_board_v0.22-B.Mask.gbr | 2 +- .../gerber/analog_board_v0.22-B.SilkS.gbr | 2 +- .../gerber/analog_board_v0.22-Edge.Cuts.gbr | 2 +- .../output/gerber/analog_board_v0.22-F.Cu.gbr | 2 +- .../gerber/analog_board_v0.22-F.Mask.gbr | 2 +- .../gerber/analog_board_v0.22-F.SilkS.gbr | 2 +- .../output/gerber/analog_board_v0.22-NPTH.drl | 2 +- .../output/gerber/analog_board_v0.22.drl | 2 +- .../gerber/gerber_analog_board_v0.22.zip | Bin 0 -> 89815 bytes pcbs/analog_board_v0.22/sensitiveReadout.bak | 54 +-- pcbs/analog_board_v0.22/sensitiveReadout.sch | 52 +-- pcbs/backplane/backplane-cache.lib | 16 +- pcbs/backplane/backplane.bak | 46 +- pcbs/backplane/backplane.kicad_pcb | 364 ++++++++------- pcbs/backplane/backplane.kicad_pcb-bak | 18 +- pcbs/backplane/backplane.net | 428 +++++++++--------- pcbs/backplane/backplane.sch | 38 +- pcbs/backplane/myLibs/ch340g.bak | 32 ++ pcbs/backplane/myLibs/ch340g.dcm | 3 + pcbs/backplane/myLibs/ch340g.lib | 16 +- .../output/gerber/backplane-B.Cu.gbr | 94 +++- .../output/gerber/backplane-B.Mask.gbr | 2 +- .../output/gerber/backplane-B.SilkS.gbr | 2 +- .../output/gerber/backplane-Edge.Cuts.gbr | 2 +- .../output/gerber/backplane-F.Cu.gbr | 98 +++- .../output/gerber/backplane-F.Mask.gbr | 2 +- .../output/gerber/backplane-F.SilkS.gbr | 2 +- .../output/gerber/backplane-NPTH.drl | 2 +- pcbs/backplane/output/gerber/backplane.drl | 2 +- .../output/gerber/gerber_backplane.zip | Bin 0 -> 234274 bytes 61 files changed, 1524 insertions(+), 936 deletions(-) create mode 100644 cad/mechanics_rev3/dimensions.scad create mode 100644 cad/mechanics_rev3/main.scad create mode 100644 cad/mechanics_rev3/open_box.scad create mode 100644 cad/mechanics_rev3/roundy.scad create mode 100644 cad/mechanics_rev3/screw_holes.scad delete mode 100644 docs/bom/.~lock.bom.csv# create mode 100644 pcbs/analog_board_v0.2/output/gerber/gerber_analog_board_v0.2.zip delete mode 100644 pcbs/analog_board_v0.22/output/.~lock.analog_board_v0.22.csv# create mode 100644 pcbs/analog_board_v0.22/output/gerber/gerber_analog_board_v0.22.zip create mode 100644 pcbs/backplane/myLibs/ch340g.bak create mode 100644 pcbs/backplane/myLibs/ch340g.dcm create mode 100644 pcbs/backplane/output/gerber/gerber_backplane.zip diff --git a/cad/mechanics_rev3/dimensions.scad b/cad/mechanics_rev3/dimensions.scad new file mode 100644 index 0000000..5355576 --- /dev/null +++ b/cad/mechanics_rev3/dimensions.scad @@ -0,0 +1,60 @@ +///////////////////////////////////////////////////////////////////////////////// +// File for all dimensions, so they can be changed globally. +// +// Author: Maximilian Stiefel +// Last modification: 07.07.2017 +///////////////////////////////////////////////////////////////////////////////// + +// Allowance +allowance5 = 5; +allowance1 = 1; + +// Dimensions battery holder +battery_holder_width = 53.5; +battery_holder_depth = 50.5; +battery_holder_height = 13; + +// Dimensions motherboard +motherboard_width = 80; +motherboard_depth = 1.6; +motherboard_height = 50; + +// Dimensions plugin boards +pluginboard_width = 80; +pluginboard_depth = 1.6; +pluginboard_height = 50; + +// Dimensions magnet +extra_space_magnet = 10; + +// Dimensions housing inside (cubic) +housing_inside_width = motherboard_width + allowance5; +housing_inside_depth = pluginboard_height + extra_space_magnet + allowance5; +housing_inside_height = motherboard_height + battery_holder_height + allowance5; + +echo(housing_inside_width=housing_inside_width); +echo(housing_inside_depth=housing_inside_depth); +echo(housing_inside_height=housing_inside_height); + +// Dimensions housing +housing_thickness = 10; // Make it thick to avoid light comming through the walls +housing_outside_width = housing_inside_width + 2*housing_thickness; +housing_outside_depth = housing_inside_depth + 2*housing_thickness; +housing_outside_height = housing_inside_height + 2*housing_thickness; + +echo(housing_outside_width=housing_outside_width); +echo(housing_outside_depth=housing_outside_depth); +echo(housing_outside_height=housing_outside_height); + +// Colors +myColor1 = "DodgerBlue"; +myColor2 = "Maroon"; + +// Screws +drill_dia_m3 = 2.5; + +// Lids dimensions +lids_depth = housing_thickness/4; +lid_l_r_height = housing_inside_height/2; +lid_l_r_width = (3/4)*housing_inside_depth; +lid_l_r_overlap = (3/2)*housing_thickness; diff --git a/cad/mechanics_rev3/main.scad b/cad/mechanics_rev3/main.scad new file mode 100644 index 0000000..168ec12 --- /dev/null +++ b/cad/mechanics_rev3/main.scad @@ -0,0 +1,69 @@ +///////////////////////////////////////////////////////////////////////////////// +// Main file to assemble everything. +// +// Author: Maximilian Stiefel +// Last modification: 07.07.2017 +///////////////////////////////////////////////////////////////////////////////// + +///////////////////////////////////////////////////////////////////////////////// +// Includes +///////////////////////////////////////////////////////////////////////////////// + +// Dimesnions +include +use + +// Module to make a nice round box +include +use + +// Module for screw holes +include +use + +///////////////////////////////////////////////////////////////////////////////// +// Action +///////////////////////////////////////////////////////////////////////////////// +color(myColor1) +difference() +{ + roundy(housing_outside_width, housing_outside_depth, housing_outside_height); + union() + { + // Cut out all six sides + // Left and right + cube([housing_inside_width + 3*housing_thickness, lid_l_r_width, lid_l_r_height], center = true); + // Front and back + cube([housing_inside_width, housing_inside_depth + 3*housing_thickness, housing_inside_height], center = true); + // Top and bottom + cube([housing_inside_width, housing_inside_depth, housing_inside_height + 3*housing_thickness], center = true); + + // Cut deepenings for lids + // Left and right + for(x = [-1, 1]) + translate([x*housing_outside_width/2 - x*lids_depth + x, 0, 0]) + rotate([0, 90, 0]) + roundy(lid_l_r_height + lid_l_r_overlap, lid_l_r_width + lid_l_r_overlap, lids_depth + 2, center = true); + // Top and bottom + for(z = [-1, 1]) + translate([0, 0, z*housing_outside_height/2 - z*lids_depth + z]) + roundy(housing_inside_width + (3/2)*housing_thickness, housing_inside_depth + (3/2)*housing_thickness, housing_thickness/4 + 2); + // Front and back + for(y = [-1, 1]) + translate([0, y*housing_outside_depth/2 - y*housing_thickness/8 + y, 0]) + rotate([90, 0, 0]) + cube([housing_inside_width + (1.0)*housing_thickness, housing_inside_height + (1.0)*housing_thickness, housing_thickness/4 + 2], center = true); + + // Screw holes + // Left and right + for(x = [-1, 1]) + translate([0, x*housing_outside_height/2 - x*0.95*housing_thickness/2, 0]) + screw_holes(housing_outside_width - (4/3)*housing_thickness, housing_outside_depth - (4/3)*housing_thickness, drill_dia_m3, housing_thickness/2); + + // Top and bottom + for(z = [-1, 1]) + translate([0, 0, z*housing_outside_height/2 - z*0.95*housing_thickness/2]) + screw_holes(housing_outside_width - (4/3)*housing_thickness, housing_outside_depth - (4/3)*housing_thickness, drill_dia_m3, housing_thickness/2); + + } +} diff --git a/cad/mechanics_rev3/open_box.scad b/cad/mechanics_rev3/open_box.scad new file mode 100644 index 0000000..a377620 --- /dev/null +++ b/cad/mechanics_rev3/open_box.scad @@ -0,0 +1,41 @@ +///////////////////////////////////////////////////////////////////////////////// +// Module for a open box with rounded edges. +// +// Author: Maximilian Stiefel +// Last modification: 26.04.2017 +///////////////////////////////////////////////////////////////////////////////// + +module open_box(width, length, height, thickness) +{ + + ///////////////////////////////////////////////////////////////////////// + // Vars + ///////////////////////////////////////////////////////////////////////// + edge_rounding = 8; + compensation = 2*abs(edge_rounding-thickness); + + ///////////////////////////////////////////////////////////////////////// + // Action + ///////////////////////////////////////////////////////////////////////// + translate([0, 0, 0]) difference(){ + // Hull 4 cylinders which are compensated against the thickness + // so the actual desired thickness/2 and edge rounding is always achieved. + hull(){ + // x=0, y=0 + translate([edge_rounding, edge_rounding, 0]) + cylinder(r = edge_rounding, h = height + thickness); + // x=width, y=0 + translate([width + edge_rounding - compensation, edge_rounding, 0]) + cylinder(r = edge_rounding, h = height + thickness); + // x=0, y=length + translate([edge_rounding, length + edge_rounding - compensation,0]) + cylinder(r = edge_rounding, h = height + thickness); + //x=width, y=length + translate([width + edge_rounding - compensation, length + edge_rounding - compensation,0]) + cylinder(r = edge_rounding, h = height + thickness); + } + + translate([thickness, thickness, thickness]) + cube([width, length, height + 1]); + } +} diff --git a/cad/mechanics_rev3/roundy.scad b/cad/mechanics_rev3/roundy.scad new file mode 100644 index 0000000..0db07d4 --- /dev/null +++ b/cad/mechanics_rev3/roundy.scad @@ -0,0 +1,30 @@ +///////////////////////////////////////////////////////////////////////////////// +// Module for a open box with rounded edges. +// +// Author: Maximilian Stiefel +// Last modification: 26.04.2017 +///////////////////////////////////////////////////////////////////////////////// + +module roundy(width, depth, height, center) +{ +///////////////////////////////////////////////////////////////////////// +// Vars +///////////////////////////////////////////////////////////////////////// +edge_rounding = 5; +transx = -width/2; +transy = -depth/2; +transz = -height/2; + +///////////////////////////////////////////////////////////////////////// +// Action +///////////////////////////////////////////////////////////////////////// +// Hull 4 cylinders +// The thickness of the cylinders (edge_rounding) is compensated +//translate([transx, transy, transz]) +hull(){ + for(x=[-1, +1]) + for(y=[-1, +1]) + translate([x*width/2 + -x*edge_rounding, y*depth/2 + -y*edge_rounding, 0]) + cylinder(r = edge_rounding, h = height, center =true); +} +} diff --git a/cad/mechanics_rev3/screw_holes.scad b/cad/mechanics_rev3/screw_holes.scad new file mode 100644 index 0000000..8463cfc --- /dev/null +++ b/cad/mechanics_rev3/screw_holes.scad @@ -0,0 +1,24 @@ +///////////////////////////////////////////////////////////////////////////////// +// Module for four holes along a rectangle for screw threads. +// +// Author: Maximilian Stiefel +// Last modification: 09.07.2017 +///////////////////////////////////////////////////////////////////////////////// + +module screw_holes(xdim, ydim, dia, depth) +{ +///////////////////////////////////////////////////////////////////////// +// Vars +///////////////////////////////////////////////////////////////////////// + + +///////////////////////////////////////////////////////////////////////// +// Action +///////////////////////////////////////////////////////////////////////// +union(){ + for(x=[-1, +1]) + for(y=[-1, +1]) + translate([x*xdim/2, y*ydim/2, 0]) + cylinder(r = dia/2, h = depth, center =true); +} +} diff --git a/docs/bom/.~lock.bom.csv# b/docs/bom/.~lock.bom.csv# deleted file mode 100644 index d8648ca..0000000 --- a/docs/bom/.~lock.bom.csv# +++ /dev/null @@ -1 +0,0 @@ -,maximilian,ironhero,06.07.2017 18:20,file:///home/maximilian/.config/libreoffice/4; \ No newline at end of file diff --git a/docs/bom/bom.csv b/docs/bom/bom.csv index f313a61..554e17c 100644 --- a/docs/bom/bom.csv +++ b/docs/bom/bom.csv @@ -20,11 +20,11 @@ Crystal 12 Mhz,9713344,5 PMOS ,2061419,5 NMOS,1864589,5 Poti,2550676,3 -Opamp ADA4522,2505560,1 +Opamp ADA4522,2505560,2 Charge Pump ICL7660,1561969,3 Opamp AD8616,1581952,2 -4 NiMH Batteries,2075717,1 -Battery Holder,1650685,1 +2 NiMH AAA Batteries,1662656,2 +Battery Holder AAA,1650687,1 Copper Wire 0.35 mm,1230977,1 Micro USB Type B,2554980,2 MMBT3904,2535650,20 diff --git a/pcbs/analog_board_v0.2/analog_board_v0.2.kicad_pcb b/pcbs/analog_board_v0.2/analog_board_v0.2.kicad_pcb index 3e60bb2..fe76801 100644 --- a/pcbs/analog_board_v0.2/analog_board_v0.2.kicad_pcb +++ b/pcbs/analog_board_v0.2/analog_board_v0.2.kicad_pcb @@ -3,7 +3,7 @@ (general (links 300) (no_connects 0) - (area 104.949999 84.949999 185.050001 135.050001) + (area 68.642858 46.15 215.357144 148.850001) (thickness 1.6) (drawings 52) (tracks 364) @@ -44,7 +44,7 @@ ) (setup - (last_trace_width 0.4) + (last_trace_width 0.25) (user_trace_width 0.4) (trace_clearance 0.2) (zone_clearance 0.2) diff --git a/pcbs/analog_board_v0.2/analog_board_v0.2.kicad_pcb-bak b/pcbs/analog_board_v0.2/analog_board_v0.2.kicad_pcb-bak index 78ed0e3..3e60bb2 100644 --- a/pcbs/analog_board_v0.2/analog_board_v0.2.kicad_pcb-bak +++ b/pcbs/analog_board_v0.2/analog_board_v0.2.kicad_pcb-bak @@ -5233,8 +5233,8 @@ (segment (start 151.53 88.025) (end 149.94 88.025) (width 0.4) (layer F.Cu) (net 18)) (segment (start 149.94 88.025) (end 148.985 88.025) (width 0.4) (layer F.Cu) (net 18) (tstamp 5959F7FD)) (segment (start 144.86 93.74) (end 149.94 93.74) (width 0.4) (layer F.Cu) (net 19)) - (segment (start 148.0185 103.0605) (end 150.6855 103.0605) (width 0.4) (layer F.Cu) (net 20) (status 400000)) - (segment (start 152.48 101.266) (end 152.48 96.28) (width 0.4) (layer F.Cu) (net 20) (tstamp 595D2113) (status 800000)) + (segment (start 148.0185 103.0605) (end 150.6855 103.0605) (width 0.4) (layer F.Cu) (net 20)) + (segment (start 152.48 101.266) (end 152.48 96.28) (width 0.4) (layer F.Cu) (net 20) (tstamp 595D2113)) (segment (start 150.6855 103.0605) (end 152.48 101.266) (width 0.4) (layer F.Cu) (net 20) (tstamp 595D2111)) (segment (start 152.48 93.74) (end 155.655 93.74) (width 0.4) (layer F.Cu) (net 20)) (segment (start 152.48 91.2) (end 152.48 93.74) (width 0.4) (layer F.Cu) (net 20)) @@ -6588,124 +6588,124 @@ (xy 148.709003 103.794722) (xy 148.59 103.770623) (xy 148.171248 103.770623) (xy 148.074871 103.730604) (xy 147.836176 103.730396) (xy 147.738819 103.770623) (xy 147.447 103.770623) (xy 147.335827 103.791542) (xy 147.233721 103.857245) (xy 147.165222 103.957497) (xy 147.141123 104.0765) (xy 145.296606 104.0765) (xy 145.514553 103.858554) (xy 145.62294 103.696342) (xy 145.633618 103.642658) - (xy 145.661 103.505) (xy 145.661 102.8065) (xy 147.141123 102.8065) (xy 147.141123 103.3145) (xy 147.162042 103.425673) + (xy 145.661 103.505) (xy 145.661 101.9925) (xy 147.147 101.9925) (xy 147.147 102.104174) (xy 147.192672 102.214437) + (xy 147.277064 102.298828) (xy 147.387327 102.3445) (xy 147.7935 102.3445) (xy 147.8685 102.2695) (xy 147.8685 101.9175) + (xy 148.1685 101.9175) (xy 148.1685 102.2695) (xy 148.2435 102.3445) (xy 148.649673 102.3445) (xy 148.759936 102.298828) + (xy 148.844328 102.214437) (xy 148.89 102.104174) (xy 148.89 101.9925) (xy 148.815 101.9175) (xy 148.1685 101.9175) + (xy 147.8685 101.9175) (xy 147.222 101.9175) (xy 147.147 101.9925) (xy 145.661 101.9925) (xy 145.661 101.476826) + (xy 147.147 101.476826) (xy 147.147 101.5885) (xy 147.222 101.6635) (xy 147.8685 101.6635) (xy 147.8685 101.3115) + (xy 148.1685 101.3115) (xy 148.1685 101.6635) (xy 148.815 101.6635) (xy 148.89 101.5885) (xy 148.89 101.476826) + (xy 148.844328 101.366563) (xy 148.759936 101.282172) (xy 148.649673 101.2365) (xy 148.2435 101.2365) (xy 148.1685 101.3115) + (xy 147.8685 101.3115) (xy 147.7935 101.2365) (xy 147.387327 101.2365) (xy 147.277064 101.282172) (xy 147.192672 101.366563) + (xy 147.147 101.476826) (xy 145.661 101.476826) (xy 145.661 99.822) (xy 145.62294 99.630658) (xy 145.514553 99.468447) + (xy 144.639553 98.593447) (xy 144.477342 98.48506) (xy 144.460842 98.481778) (xy 144.286 98.447) (xy 125.001265 98.447) + (xy 125.078328 98.369936) (xy 125.124 98.259673) (xy 125.124 97.775) (xy 125.049 97.7) (xy 124.624 97.7) + (xy 124.624 97.72) (xy 124.324 97.72) (xy 124.324 97.7) (xy 123.899 97.7) (xy 123.824 97.775) + (xy 123.824 98.259673) (xy 123.869672 98.369936) (xy 123.946735 98.447) (xy 123.094162 98.447) (xy 123.137279 98.419255) + (xy 123.205778 98.319003) (xy 123.229877 98.2) (xy 123.229877 96.9) (xy 123.218649 96.840327) (xy 123.824 96.840327) + (xy 123.824 97.325) (xy 123.899 97.4) (xy 124.324 97.4) (xy 124.324 96.675) (xy 124.624 96.675) + (xy 124.624 97.4) (xy 125.049 97.4) (xy 125.124 97.325) (xy 125.124 96.840327) (xy 125.078328 96.730064) + (xy 124.993937 96.645672) (xy 124.883674 96.6) (xy 124.699 96.6) (xy 124.624 96.675) (xy 124.324 96.675) + (xy 124.249 96.6) (xy 124.064326 96.6) (xy 123.954063 96.645672) (xy 123.869672 96.730064) (xy 123.824 96.840327) + (xy 123.218649 96.840327) (xy 123.208958 96.788827) (xy 123.143255 96.686721) (xy 123.074 96.639401) (xy 123.074 96.525824) + (xy 134.988896 96.525824) (xy 134.999123 96.550575) (xy 134.999123 96.93) (xy 135.020042 97.041173) (xy 135.085745 97.143279) + (xy 135.185997 97.211778) (xy 135.305 97.235877) (xy 136.005 97.235877) (xy 136.116173 97.214958) (xy 136.218279 97.149255) + (xy 136.286778 97.049003) (xy 136.310877 96.93) (xy 136.310877 95.63) (xy 136.899123 95.63) (xy 136.899123 96.93) + (xy 136.920042 97.041173) (xy 136.985745 97.143279) (xy 137.085997 97.211778) (xy 137.205 97.235877) (xy 137.905 97.235877) + (xy 138.016173 97.214958) (xy 138.118279 97.149255) (xy 138.186778 97.049003) (xy 138.210877 96.93) (xy 138.210877 96.78) + (xy 141.688742 96.78) (xy 141.866245 96.957812) (xy 142.160172 97.079861) (xy 142.478432 97.080139) (xy 142.772572 96.958603) + (xy 142.997812 96.733755) (xy 143.119861 96.439828) (xy 143.120139 96.121568) (xy 142.998603 95.827428) (xy 142.773755 95.602188) + (xy 142.479828 95.480139) (xy 142.161568 95.479861) (xy 141.867428 95.601397) (xy 141.688514 95.78) (xy 138.210877 95.78) + (xy 138.210877 95.63) (xy 138.189958 95.518827) (xy 138.124255 95.416721) (xy 138.024003 95.348222) (xy 137.905 95.324123) + (xy 137.205 95.324123) (xy 137.093827 95.345042) (xy 136.991721 95.410745) (xy 136.923222 95.510997) (xy 136.899123 95.63) + (xy 136.310877 95.63) (xy 136.289958 95.518827) (xy 136.224255 95.416721) (xy 136.124003 95.348222) (xy 136.005 95.324123) + (xy 135.305 95.324123) (xy 135.193827 95.345042) (xy 135.091721 95.410745) (xy 135.023222 95.510997) (xy 134.999123 95.63) + (xy 134.999123 96.263001) (xy 134.989104 96.287129) (xy 134.988896 96.525824) (xy 123.074 96.525824) (xy 123.074 96.427974) + (xy 123.137279 96.387255) (xy 123.205778 96.287003) (xy 123.229877 96.168) (xy 123.229877 94.868) (xy 123.208958 94.756827) + (xy 123.143255 94.654721) (xy 123.043003 94.586222) (xy 122.924 94.562123) (xy 122.224 94.562123) (xy 122.112827 94.583042) + (xy 122.010721 94.648745) (xy 121.942222 94.748997) (xy 121.918123 94.868) (xy 121.918123 95.907) (xy 121.132482 95.907) + (xy 120.908173 95.571297) (xy 120.535086 95.322009) (xy 120.095 95.23447) (xy 119.654914 95.322009) (xy 119.281827 95.571297) + (xy 119.032539 95.944384) (xy 118.945 96.38447) (xy 118.705 96.38447) (xy 118.617461 95.944384) (xy 118.368173 95.571297) + (xy 118.055 95.362042) (xy 118.055 94.248) (xy 118.01694 94.056658) (xy 117.908553 93.894447) (xy 117.019553 93.005447) + (xy 116.857342 92.89706) (xy 116.666 92.859) (xy 112.302877 92.859) (xy 112.302877 92.709) (xy 112.281958 92.597827) + (xy 112.216255 92.495721) (xy 112.116003 92.427222) (xy 111.997 92.403123) (xy 111.297 92.403123) (xy 111.185827 92.424042) + (xy 111.083721 92.489745) (xy 111.015222 92.589997) (xy 110.991123 92.709) (xy 110.402877 92.709) (xy 110.381958 92.597827) + (xy 110.316255 92.495721) (xy 110.216003 92.427222) (xy 110.097 92.403123) (xy 109.397 92.403123) (xy 109.285827 92.424042) + (xy 109.183721 92.489745) (xy 109.115222 92.589997) (xy 109.091123 92.709) (xy 106.545 92.709) (xy 106.545 88.726106) + (xy 107.268106 88.003) (xy 123.109894 88.003) (xy 123.974 88.867106) (xy 123.974 94.608026) (xy 123.910721 94.648745) + (xy 123.842222 94.748997) (xy 123.818123 94.868) (xy 123.818123 96.168) (xy 123.839042 96.279173) (xy 123.904745 96.381279) + (xy 124.004997 96.449778) (xy 124.124 96.473877) (xy 124.824 96.473877) (xy 124.935173 96.452958) (xy 125.037279 96.387255) + (xy 125.105778 96.287003) (xy 125.129877 96.168) (xy 125.129877 94.868) (xy 125.108958 94.756827) (xy 125.043255 94.654721) + (xy 124.974 94.607401) (xy 124.974 93.898432) (xy 144.059861 93.898432) (xy 144.181397 94.192572) (xy 144.406245 94.417812) + (xy 144.700172 94.539861) (xy 145.018432 94.540139) (xy 145.312572 94.418603) (xy 145.491486 94.24) (xy 148.673166 94.24) + (xy 148.929669 94.623883) (xy 149.335198 94.894849) (xy 149.813552 94.99) (xy 150.066448 94.99) (xy 150.544802 94.894849) + (xy 150.950331 94.623883) (xy 151.21 94.235261) (xy 151.469669 94.623883) (xy 151.875198 94.894849) (xy 151.98 94.915696) + (xy 151.98 95.104304) (xy 151.875198 95.125151) (xy 151.469669 95.396117) (xy 151.21 95.784739) (xy 150.950331 95.396117) + (xy 150.544802 95.125151) (xy 150.066448 95.03) (xy 149.813552 95.03) (xy 149.335198 95.125151) (xy 148.929669 95.396117) + (xy 148.658703 95.801646) (xy 148.563552 96.28) (xy 148.658703 96.758354) (xy 148.929669 97.163883) (xy 149.335198 97.434849) + (xy 149.813552 97.53) (xy 150.066448 97.53) (xy 150.544802 97.434849) (xy 150.950331 97.163883) (xy 151.21 96.775261) + (xy 151.469669 97.163883) (xy 151.875198 97.434849) (xy 151.98 97.455696) (xy 151.98 101.058893) (xy 150.478394 102.5605) + (xy 148.761366 102.5605) (xy 148.709003 102.524722) (xy 148.59 102.500623) (xy 147.447 102.500623) (xy 147.335827 102.521542) + (xy 147.233721 102.587245) (xy 147.165222 102.687497) (xy 147.141123 102.8065) (xy 147.141123 103.3145) (xy 147.162042 103.425673) (xy 147.227745 103.527779) (xy 147.327997 103.596278) (xy 147.447 103.620377) (xy 148.59 103.620377) (xy 148.701173 103.599458) - (xy 148.803279 103.533755) (xy 148.871778 103.433503) (xy 148.895877 103.3145) (xy 148.895877 102.8065) (xy 148.874958 102.695327) - (xy 148.809255 102.593221) (xy 148.709003 102.524722) (xy 148.59 102.500623) (xy 147.447 102.500623) (xy 147.335827 102.521542) - (xy 147.233721 102.587245) (xy 147.165222 102.687497) (xy 147.141123 102.8065) (xy 145.661 102.8065) (xy 145.661 101.9925) - (xy 147.147 101.9925) (xy 147.147 102.104174) (xy 147.192672 102.214437) (xy 147.277064 102.298828) (xy 147.387327 102.3445) - (xy 147.7935 102.3445) (xy 147.8685 102.2695) (xy 147.8685 101.9175) (xy 148.1685 101.9175) (xy 148.1685 102.2695) - (xy 148.2435 102.3445) (xy 148.649673 102.3445) (xy 148.759936 102.298828) (xy 148.844328 102.214437) (xy 148.89 102.104174) - (xy 148.89 101.9925) (xy 148.815 101.9175) (xy 148.1685 101.9175) (xy 147.8685 101.9175) (xy 147.222 101.9175) - (xy 147.147 101.9925) (xy 145.661 101.9925) (xy 145.661 101.476826) (xy 147.147 101.476826) (xy 147.147 101.5885) - (xy 147.222 101.6635) (xy 147.8685 101.6635) (xy 147.8685 101.3115) (xy 148.1685 101.3115) (xy 148.1685 101.6635) - (xy 148.815 101.6635) (xy 148.89 101.5885) (xy 148.89 101.476826) (xy 148.844328 101.366563) (xy 148.759936 101.282172) - (xy 148.649673 101.2365) (xy 148.2435 101.2365) (xy 148.1685 101.3115) (xy 147.8685 101.3115) (xy 147.7935 101.2365) - (xy 147.387327 101.2365) (xy 147.277064 101.282172) (xy 147.192672 101.366563) (xy 147.147 101.476826) (xy 145.661 101.476826) - (xy 145.661 99.822) (xy 145.62294 99.630658) (xy 145.514553 99.468447) (xy 144.639553 98.593447) (xy 144.477342 98.48506) - (xy 144.460842 98.481778) (xy 144.286 98.447) (xy 125.001265 98.447) (xy 125.078328 98.369936) (xy 125.124 98.259673) - (xy 125.124 97.775) (xy 125.049 97.7) (xy 124.624 97.7) (xy 124.624 97.72) (xy 124.324 97.72) - (xy 124.324 97.7) (xy 123.899 97.7) (xy 123.824 97.775) (xy 123.824 98.259673) (xy 123.869672 98.369936) - (xy 123.946735 98.447) (xy 123.094162 98.447) (xy 123.137279 98.419255) (xy 123.205778 98.319003) (xy 123.229877 98.2) - (xy 123.229877 96.9) (xy 123.218649 96.840327) (xy 123.824 96.840327) (xy 123.824 97.325) (xy 123.899 97.4) - (xy 124.324 97.4) (xy 124.324 96.675) (xy 124.624 96.675) (xy 124.624 97.4) (xy 125.049 97.4) - (xy 125.124 97.325) (xy 125.124 96.840327) (xy 125.078328 96.730064) (xy 124.993937 96.645672) (xy 124.883674 96.6) - (xy 124.699 96.6) (xy 124.624 96.675) (xy 124.324 96.675) (xy 124.249 96.6) (xy 124.064326 96.6) - (xy 123.954063 96.645672) (xy 123.869672 96.730064) (xy 123.824 96.840327) (xy 123.218649 96.840327) (xy 123.208958 96.788827) - (xy 123.143255 96.686721) (xy 123.074 96.639401) (xy 123.074 96.525824) (xy 134.988896 96.525824) (xy 134.999123 96.550575) - (xy 134.999123 96.93) (xy 135.020042 97.041173) (xy 135.085745 97.143279) (xy 135.185997 97.211778) (xy 135.305 97.235877) - (xy 136.005 97.235877) (xy 136.116173 97.214958) (xy 136.218279 97.149255) (xy 136.286778 97.049003) (xy 136.310877 96.93) - (xy 136.310877 95.63) (xy 136.899123 95.63) (xy 136.899123 96.93) (xy 136.920042 97.041173) (xy 136.985745 97.143279) - (xy 137.085997 97.211778) (xy 137.205 97.235877) (xy 137.905 97.235877) (xy 138.016173 97.214958) (xy 138.118279 97.149255) - (xy 138.186778 97.049003) (xy 138.210877 96.93) (xy 138.210877 96.78) (xy 141.688742 96.78) (xy 141.866245 96.957812) - (xy 142.160172 97.079861) (xy 142.478432 97.080139) (xy 142.772572 96.958603) (xy 142.997812 96.733755) (xy 143.119861 96.439828) - (xy 143.120139 96.121568) (xy 142.998603 95.827428) (xy 142.773755 95.602188) (xy 142.479828 95.480139) (xy 142.161568 95.479861) - (xy 141.867428 95.601397) (xy 141.688514 95.78) (xy 138.210877 95.78) (xy 138.210877 95.63) (xy 138.189958 95.518827) - (xy 138.124255 95.416721) (xy 138.024003 95.348222) (xy 137.905 95.324123) (xy 137.205 95.324123) (xy 137.093827 95.345042) - (xy 136.991721 95.410745) (xy 136.923222 95.510997) (xy 136.899123 95.63) (xy 136.310877 95.63) (xy 136.289958 95.518827) - (xy 136.224255 95.416721) (xy 136.124003 95.348222) (xy 136.005 95.324123) (xy 135.305 95.324123) (xy 135.193827 95.345042) - (xy 135.091721 95.410745) (xy 135.023222 95.510997) (xy 134.999123 95.63) (xy 134.999123 96.263001) (xy 134.989104 96.287129) - (xy 134.988896 96.525824) (xy 123.074 96.525824) (xy 123.074 96.427974) (xy 123.137279 96.387255) (xy 123.205778 96.287003) - (xy 123.229877 96.168) (xy 123.229877 94.868) (xy 123.208958 94.756827) (xy 123.143255 94.654721) (xy 123.043003 94.586222) - (xy 122.924 94.562123) (xy 122.224 94.562123) (xy 122.112827 94.583042) (xy 122.010721 94.648745) (xy 121.942222 94.748997) - (xy 121.918123 94.868) (xy 121.918123 95.907) (xy 121.132482 95.907) (xy 120.908173 95.571297) (xy 120.535086 95.322009) - (xy 120.095 95.23447) (xy 119.654914 95.322009) (xy 119.281827 95.571297) (xy 119.032539 95.944384) (xy 118.945 96.38447) - (xy 118.705 96.38447) (xy 118.617461 95.944384) (xy 118.368173 95.571297) (xy 118.055 95.362042) (xy 118.055 94.248) - (xy 118.01694 94.056658) (xy 117.908553 93.894447) (xy 117.019553 93.005447) (xy 116.857342 92.89706) (xy 116.666 92.859) - (xy 112.302877 92.859) (xy 112.302877 92.709) (xy 112.281958 92.597827) (xy 112.216255 92.495721) (xy 112.116003 92.427222) - (xy 111.997 92.403123) (xy 111.297 92.403123) (xy 111.185827 92.424042) (xy 111.083721 92.489745) (xy 111.015222 92.589997) - (xy 110.991123 92.709) (xy 110.402877 92.709) (xy 110.381958 92.597827) (xy 110.316255 92.495721) (xy 110.216003 92.427222) - (xy 110.097 92.403123) (xy 109.397 92.403123) (xy 109.285827 92.424042) (xy 109.183721 92.489745) (xy 109.115222 92.589997) - (xy 109.091123 92.709) (xy 106.545 92.709) (xy 106.545 88.726106) (xy 107.268106 88.003) (xy 123.109894 88.003) - (xy 123.974 88.867106) (xy 123.974 94.608026) (xy 123.910721 94.648745) (xy 123.842222 94.748997) (xy 123.818123 94.868) - (xy 123.818123 96.168) (xy 123.839042 96.279173) (xy 123.904745 96.381279) (xy 124.004997 96.449778) (xy 124.124 96.473877) - (xy 124.824 96.473877) (xy 124.935173 96.452958) (xy 125.037279 96.387255) (xy 125.105778 96.287003) (xy 125.129877 96.168) - (xy 125.129877 94.868) (xy 125.108958 94.756827) (xy 125.043255 94.654721) (xy 124.974 94.607401) (xy 124.974 93.898432) - (xy 144.059861 93.898432) (xy 144.181397 94.192572) (xy 144.406245 94.417812) (xy 144.700172 94.539861) (xy 145.018432 94.540139) - (xy 145.312572 94.418603) (xy 145.491486 94.24) (xy 148.673166 94.24) (xy 148.929669 94.623883) (xy 149.335198 94.894849) - (xy 149.813552 94.99) (xy 150.066448 94.99) (xy 150.544802 94.894849) (xy 150.950331 94.623883) (xy 151.21 94.235261) - (xy 151.469669 94.623883) (xy 151.875198 94.894849) (xy 151.98 94.915696) (xy 151.98 95.104304) (xy 151.875198 95.125151) - (xy 151.469669 95.396117) (xy 151.21 95.784739) (xy 150.950331 95.396117) (xy 150.544802 95.125151) (xy 150.066448 95.03) - (xy 149.813552 95.03) (xy 149.335198 95.125151) (xy 148.929669 95.396117) (xy 148.658703 95.801646) (xy 148.563552 96.28) - (xy 148.658703 96.758354) (xy 148.929669 97.163883) (xy 149.335198 97.434849) (xy 149.813552 97.53) (xy 150.066448 97.53) - (xy 150.544802 97.434849) (xy 150.950331 97.163883) (xy 151.21 96.775261) (xy 151.469669 97.163883) (xy 151.875198 97.434849) - (xy 152.353552 97.53) (xy 152.606448 97.53) (xy 153.084802 97.434849) (xy 153.490331 97.163883) (xy 153.761297 96.758354) - (xy 153.835667 96.38447) (xy 158.823 96.38447) (xy 158.823 96.42953) (xy 158.910539 96.869616) (xy 159.159827 97.242703) - (xy 159.532914 97.491991) (xy 159.973 97.57953) (xy 160.413086 97.491991) (xy 160.786173 97.242703) (xy 161.035461 96.869616) - (xy 161.123 96.42953) (xy 161.123 96.38447) (xy 161.035461 95.944384) (xy 160.786173 95.571297) (xy 160.413086 95.322009) - (xy 159.973 95.23447) (xy 159.532914 95.322009) (xy 159.159827 95.571297) (xy 158.910539 95.944384) (xy 158.823 96.38447) - (xy 153.835667 96.38447) (xy 153.856448 96.28) (xy 153.761297 95.801646) (xy 153.490331 95.396117) (xy 153.084802 95.125151) - (xy 152.98 95.104304) (xy 152.98 94.915696) (xy 153.084802 94.894849) (xy 153.490331 94.623883) (xy 153.746834 94.24) - (xy 154.898757 94.24) (xy 154.917042 94.337173) (xy 154.982745 94.439279) (xy 155.082997 94.507778) (xy 155.202 94.531877) - (xy 156.202 94.531877) (xy 156.313173 94.510958) (xy 156.415279 94.445255) (xy 156.483778 94.345003) (xy 156.507877 94.226) - (xy 156.507877 93.226) (xy 156.486958 93.114827) (xy 156.421255 93.012721) (xy 156.321003 92.944222) (xy 156.202 92.920123) - (xy 155.202 92.920123) (xy 155.090827 92.941042) (xy 154.988721 93.006745) (xy 154.920222 93.106997) (xy 154.896123 93.226) - (xy 154.896123 93.24) (xy 153.746834 93.24) (xy 153.490331 92.856117) (xy 153.084802 92.585151) (xy 152.98 92.564304) - (xy 152.98 92.455877) (xy 153.53 92.455877) (xy 153.641173 92.434958) (xy 153.743279 92.369255) (xy 153.811778 92.269003) - (xy 153.835877 92.15) (xy 153.835877 90.25) (xy 153.814958 90.138827) (xy 153.749255 90.036721) (xy 153.649003 89.968222) - (xy 153.53 89.944123) (xy 151.43 89.944123) (xy 151.318827 89.965042) (xy 151.216721 90.030745) (xy 151.210896 90.039271) - (xy 151.209255 90.036721) (xy 151.109003 89.968222) (xy 150.99 89.944123) (xy 150.44 89.944123) (xy 150.44 88.525) - (xy 150.874123 88.525) (xy 150.874123 88.675) (xy 150.895042 88.786173) (xy 150.960745 88.888279) (xy 151.060997 88.956778) - (xy 151.18 88.980877) (xy 151.88 88.980877) (xy 151.991173 88.959958) (xy 152.093279 88.894255) (xy 152.161778 88.794003) - (xy 152.185877 88.675) (xy 152.185877 87.375) (xy 152.774123 87.375) (xy 152.774123 88.675) (xy 152.795042 88.786173) - (xy 152.860745 88.888279) (xy 152.960997 88.956778) (xy 153.08 88.980877) (xy 153.78 88.980877) (xy 153.891173 88.959958) - (xy 153.993279 88.894255) (xy 154.061778 88.794003) (xy 154.085877 88.675) (xy 154.085877 87.375) (xy 154.064958 87.263827) - (xy 153.999255 87.161721) (xy 153.899003 87.093222) (xy 153.78 87.069123) (xy 153.08 87.069123) (xy 152.968827 87.090042) - (xy 152.866721 87.155745) (xy 152.798222 87.255997) (xy 152.774123 87.375) (xy 152.185877 87.375) (xy 152.164958 87.263827) - (xy 152.099255 87.161721) (xy 151.999003 87.093222) (xy 151.88 87.069123) (xy 151.18 87.069123) (xy 151.068827 87.090042) - (xy 150.966721 87.155745) (xy 150.898222 87.255997) (xy 150.874123 87.375) (xy 150.874123 87.525) (xy 149.640877 87.525) - (xy 149.640877 87.375) (xy 149.619958 87.263827) (xy 149.554255 87.161721) (xy 149.454003 87.093222) (xy 149.335 87.069123) - (xy 148.635 87.069123) (xy 148.523827 87.090042) (xy 148.421721 87.155745) (xy 148.353222 87.255997) (xy 148.329123 87.375) - (xy 148.329123 88.675) (xy 148.350042 88.786173) (xy 148.415745 88.888279) (xy 148.515997 88.956778) (xy 148.635 88.980877) - (xy 149.335 88.980877) (xy 149.44 88.96112) (xy 149.44 89.944123) (xy 148.89 89.944123) (xy 148.778827 89.965042) - (xy 148.676721 90.030745) (xy 148.608222 90.130997) (xy 148.584123 90.25) (xy 148.584123 92.15) (xy 148.605042 92.261173) - (xy 148.670745 92.363279) (xy 148.770997 92.431778) (xy 148.89 92.455877) (xy 150.99 92.455877) (xy 151.101173 92.434958) - (xy 151.203279 92.369255) (xy 151.209104 92.360729) (xy 151.210745 92.363279) (xy 151.310997 92.431778) (xy 151.43 92.455877) - (xy 151.98 92.455877) (xy 151.98 92.564304) (xy 151.875198 92.585151) (xy 151.469669 92.856117) (xy 151.21 93.244739) - (xy 150.950331 92.856117) (xy 150.544802 92.585151) (xy 150.066448 92.49) (xy 149.813552 92.49) (xy 149.335198 92.585151) - (xy 148.929669 92.856117) (xy 148.673166 93.24) (xy 145.491258 93.24) (xy 145.313755 93.062188) (xy 145.019828 92.940139) - (xy 144.701568 92.939861) (xy 144.407428 93.061397) (xy 144.182188 93.286245) (xy 144.060139 93.580172) (xy 144.059861 93.898432) - (xy 124.974 93.898432) (xy 124.974 91.818948) (xy 141.913184 91.818948) (xy 141.970088 91.936807) (xy 142.278687 92.014626) - (xy 142.593576 91.968426) (xy 142.669912 91.936807) (xy 142.726816 91.818948) (xy 142.32 91.412132) (xy 141.913184 91.818948) - (xy 124.974 91.818948) (xy 124.974 91.158687) (xy 141.505374 91.158687) (xy 141.551574 91.473576) (xy 141.583193 91.549912) - (xy 141.701052 91.606816) (xy 142.107868 91.2) (xy 142.532132 91.2) (xy 142.938948 91.606816) (xy 143.056807 91.549912) - (xy 143.134626 91.241313) (xy 143.088426 90.926424) (xy 143.056807 90.850088) (xy 142.938948 90.793184) (xy 142.532132 91.2) - (xy 142.107868 91.2) (xy 141.701052 90.793184) (xy 141.583193 90.850088) (xy 141.505374 91.158687) (xy 124.974 91.158687) - (xy 124.974 90.581052) (xy 141.913184 90.581052) (xy 142.32 90.987868) (xy 142.726816 90.581052) (xy 142.669912 90.463193) - (xy 142.361313 90.385374) (xy 142.046424 90.431574) (xy 141.970088 90.463193) (xy 141.913184 90.581052) (xy 124.974 90.581052) - (xy 124.974 88.66) (xy 124.93594 88.468658) (xy 124.827553 88.306447) (xy 124.771106 88.25) (xy 146.435 88.25) - (xy 146.435 88.734673) (xy 146.480672 88.844936) (xy 146.565063 88.929328) (xy 146.675326 88.975) (xy 146.86 88.975) - (xy 146.935 88.9) (xy 146.935 88.175) (xy 147.235 88.175) (xy 147.235 88.9) (xy 147.31 88.975) - (xy 147.494674 88.975) (xy 147.604937 88.929328) (xy 147.689328 88.844936) (xy 147.735 88.734673) (xy 147.735 88.25) - (xy 147.66 88.175) (xy 147.235 88.175) (xy 146.935 88.175) (xy 146.51 88.175) (xy 146.435 88.25) - (xy 124.771106 88.25) (xy 123.836433 87.315327) (xy 146.435 87.315327) (xy 146.435 87.8) (xy 146.51 87.875) - (xy 146.935 87.875) (xy 146.935 87.15) (xy 147.235 87.15) (xy 147.235 87.875) (xy 147.66 87.875) - (xy 147.735 87.8) (xy 147.735 87.315327) (xy 147.689328 87.205064) (xy 147.604937 87.120672) (xy 147.494674 87.075) - (xy 147.31 87.075) (xy 147.235 87.15) (xy 146.935 87.15) (xy 146.86 87.075) (xy 146.675326 87.075) - (xy 146.565063 87.120672) (xy 146.480672 87.205064) (xy 146.435 87.315327) (xy 123.836433 87.315327) (xy 123.670553 87.149447) - (xy 123.508342 87.04106) (xy 123.317 87.003) (xy 107.061 87.003) (xy 106.869658 87.04106) (xy 106.707447 87.149447) - (xy 105.691447 88.165447) (xy 105.58306 88.327658) (xy 105.545 88.519) (xy 105.545 113.9) (xy 105.35 113.9) - (xy 105.35 85.35) (xy 184.65 85.35) + (xy 148.761716 103.5605) (xy 150.6855 103.5605) (xy 150.876842 103.52244) (xy 151.039053 103.414053) (xy 152.833553 101.619554) + (xy 152.94194 101.457342) (xy 152.955843 101.387447) (xy 152.98 101.266) (xy 152.98 97.455696) (xy 153.084802 97.434849) + (xy 153.490331 97.163883) (xy 153.761297 96.758354) (xy 153.835667 96.38447) (xy 158.823 96.38447) (xy 158.823 96.42953) + (xy 158.910539 96.869616) (xy 159.159827 97.242703) (xy 159.532914 97.491991) (xy 159.973 97.57953) (xy 160.413086 97.491991) + (xy 160.786173 97.242703) (xy 161.035461 96.869616) (xy 161.123 96.42953) (xy 161.123 96.38447) (xy 161.035461 95.944384) + (xy 160.786173 95.571297) (xy 160.413086 95.322009) (xy 159.973 95.23447) (xy 159.532914 95.322009) (xy 159.159827 95.571297) + (xy 158.910539 95.944384) (xy 158.823 96.38447) (xy 153.835667 96.38447) (xy 153.856448 96.28) (xy 153.761297 95.801646) + (xy 153.490331 95.396117) (xy 153.084802 95.125151) (xy 152.98 95.104304) (xy 152.98 94.915696) (xy 153.084802 94.894849) + (xy 153.490331 94.623883) (xy 153.746834 94.24) (xy 154.898757 94.24) (xy 154.917042 94.337173) (xy 154.982745 94.439279) + (xy 155.082997 94.507778) (xy 155.202 94.531877) (xy 156.202 94.531877) (xy 156.313173 94.510958) (xy 156.415279 94.445255) + (xy 156.483778 94.345003) (xy 156.507877 94.226) (xy 156.507877 93.226) (xy 156.486958 93.114827) (xy 156.421255 93.012721) + (xy 156.321003 92.944222) (xy 156.202 92.920123) (xy 155.202 92.920123) (xy 155.090827 92.941042) (xy 154.988721 93.006745) + (xy 154.920222 93.106997) (xy 154.896123 93.226) (xy 154.896123 93.24) (xy 153.746834 93.24) (xy 153.490331 92.856117) + (xy 153.084802 92.585151) (xy 152.98 92.564304) (xy 152.98 92.455877) (xy 153.53 92.455877) (xy 153.641173 92.434958) + (xy 153.743279 92.369255) (xy 153.811778 92.269003) (xy 153.835877 92.15) (xy 153.835877 90.25) (xy 153.814958 90.138827) + (xy 153.749255 90.036721) (xy 153.649003 89.968222) (xy 153.53 89.944123) (xy 151.43 89.944123) (xy 151.318827 89.965042) + (xy 151.216721 90.030745) (xy 151.210896 90.039271) (xy 151.209255 90.036721) (xy 151.109003 89.968222) (xy 150.99 89.944123) + (xy 150.44 89.944123) (xy 150.44 88.525) (xy 150.874123 88.525) (xy 150.874123 88.675) (xy 150.895042 88.786173) + (xy 150.960745 88.888279) (xy 151.060997 88.956778) (xy 151.18 88.980877) (xy 151.88 88.980877) (xy 151.991173 88.959958) + (xy 152.093279 88.894255) (xy 152.161778 88.794003) (xy 152.185877 88.675) (xy 152.185877 87.375) (xy 152.774123 87.375) + (xy 152.774123 88.675) (xy 152.795042 88.786173) (xy 152.860745 88.888279) (xy 152.960997 88.956778) (xy 153.08 88.980877) + (xy 153.78 88.980877) (xy 153.891173 88.959958) (xy 153.993279 88.894255) (xy 154.061778 88.794003) (xy 154.085877 88.675) + (xy 154.085877 87.375) (xy 154.064958 87.263827) (xy 153.999255 87.161721) (xy 153.899003 87.093222) (xy 153.78 87.069123) + (xy 153.08 87.069123) (xy 152.968827 87.090042) (xy 152.866721 87.155745) (xy 152.798222 87.255997) (xy 152.774123 87.375) + (xy 152.185877 87.375) (xy 152.164958 87.263827) (xy 152.099255 87.161721) (xy 151.999003 87.093222) (xy 151.88 87.069123) + (xy 151.18 87.069123) (xy 151.068827 87.090042) (xy 150.966721 87.155745) (xy 150.898222 87.255997) (xy 150.874123 87.375) + (xy 150.874123 87.525) (xy 149.640877 87.525) (xy 149.640877 87.375) (xy 149.619958 87.263827) (xy 149.554255 87.161721) + (xy 149.454003 87.093222) (xy 149.335 87.069123) (xy 148.635 87.069123) (xy 148.523827 87.090042) (xy 148.421721 87.155745) + (xy 148.353222 87.255997) (xy 148.329123 87.375) (xy 148.329123 88.675) (xy 148.350042 88.786173) (xy 148.415745 88.888279) + (xy 148.515997 88.956778) (xy 148.635 88.980877) (xy 149.335 88.980877) (xy 149.44 88.96112) (xy 149.44 89.944123) + (xy 148.89 89.944123) (xy 148.778827 89.965042) (xy 148.676721 90.030745) (xy 148.608222 90.130997) (xy 148.584123 90.25) + (xy 148.584123 92.15) (xy 148.605042 92.261173) (xy 148.670745 92.363279) (xy 148.770997 92.431778) (xy 148.89 92.455877) + (xy 150.99 92.455877) (xy 151.101173 92.434958) (xy 151.203279 92.369255) (xy 151.209104 92.360729) (xy 151.210745 92.363279) + (xy 151.310997 92.431778) (xy 151.43 92.455877) (xy 151.98 92.455877) (xy 151.98 92.564304) (xy 151.875198 92.585151) + (xy 151.469669 92.856117) (xy 151.21 93.244739) (xy 150.950331 92.856117) (xy 150.544802 92.585151) (xy 150.066448 92.49) + (xy 149.813552 92.49) (xy 149.335198 92.585151) (xy 148.929669 92.856117) (xy 148.673166 93.24) (xy 145.491258 93.24) + (xy 145.313755 93.062188) (xy 145.019828 92.940139) (xy 144.701568 92.939861) (xy 144.407428 93.061397) (xy 144.182188 93.286245) + (xy 144.060139 93.580172) (xy 144.059861 93.898432) (xy 124.974 93.898432) (xy 124.974 91.818948) (xy 141.913184 91.818948) + (xy 141.970088 91.936807) (xy 142.278687 92.014626) (xy 142.593576 91.968426) (xy 142.669912 91.936807) (xy 142.726816 91.818948) + (xy 142.32 91.412132) (xy 141.913184 91.818948) (xy 124.974 91.818948) (xy 124.974 91.158687) (xy 141.505374 91.158687) + (xy 141.551574 91.473576) (xy 141.583193 91.549912) (xy 141.701052 91.606816) (xy 142.107868 91.2) (xy 142.532132 91.2) + (xy 142.938948 91.606816) (xy 143.056807 91.549912) (xy 143.134626 91.241313) (xy 143.088426 90.926424) (xy 143.056807 90.850088) + (xy 142.938948 90.793184) (xy 142.532132 91.2) (xy 142.107868 91.2) (xy 141.701052 90.793184) (xy 141.583193 90.850088) + (xy 141.505374 91.158687) (xy 124.974 91.158687) (xy 124.974 90.581052) (xy 141.913184 90.581052) (xy 142.32 90.987868) + (xy 142.726816 90.581052) (xy 142.669912 90.463193) (xy 142.361313 90.385374) (xy 142.046424 90.431574) (xy 141.970088 90.463193) + (xy 141.913184 90.581052) (xy 124.974 90.581052) (xy 124.974 88.66) (xy 124.93594 88.468658) (xy 124.827553 88.306447) + (xy 124.771106 88.25) (xy 146.435 88.25) (xy 146.435 88.734673) (xy 146.480672 88.844936) (xy 146.565063 88.929328) + (xy 146.675326 88.975) (xy 146.86 88.975) (xy 146.935 88.9) (xy 146.935 88.175) (xy 147.235 88.175) + (xy 147.235 88.9) (xy 147.31 88.975) (xy 147.494674 88.975) (xy 147.604937 88.929328) (xy 147.689328 88.844936) + (xy 147.735 88.734673) (xy 147.735 88.25) (xy 147.66 88.175) (xy 147.235 88.175) (xy 146.935 88.175) + (xy 146.51 88.175) (xy 146.435 88.25) (xy 124.771106 88.25) (xy 123.836433 87.315327) (xy 146.435 87.315327) + (xy 146.435 87.8) (xy 146.51 87.875) (xy 146.935 87.875) (xy 146.935 87.15) (xy 147.235 87.15) + (xy 147.235 87.875) (xy 147.66 87.875) (xy 147.735 87.8) (xy 147.735 87.315327) (xy 147.689328 87.205064) + (xy 147.604937 87.120672) (xy 147.494674 87.075) (xy 147.31 87.075) (xy 147.235 87.15) (xy 146.935 87.15) + (xy 146.86 87.075) (xy 146.675326 87.075) (xy 146.565063 87.120672) (xy 146.480672 87.205064) (xy 146.435 87.315327) + (xy 123.836433 87.315327) (xy 123.670553 87.149447) (xy 123.508342 87.04106) (xy 123.317 87.003) (xy 107.061 87.003) + (xy 106.869658 87.04106) (xy 106.707447 87.149447) (xy 105.691447 88.165447) (xy 105.58306 88.327658) (xy 105.545 88.519) + (xy 105.545 113.9) (xy 105.35 113.9) (xy 105.35 85.35) (xy 184.65 85.35) ) ) ) diff --git a/pcbs/analog_board_v0.2/highCurrentPart.bak b/pcbs/analog_board_v0.2/highCurrentPart.bak index 520363e..7778bc8 100644 --- a/pcbs/analog_board_v0.2/highCurrentPart.bak +++ b/pcbs/analog_board_v0.2/highCurrentPart.bak @@ -987,5 +987,5 @@ F 4 "1864589" H 4125 4425 60 0001 C CNN "Farnell" -1 0 0 -1 $EndComp Text Notes 5550 3700 0 60 ~ 0 -Investigate if AD8616 here can be replaced by the LM358 (most likely yes). +Investigate if AD8616 here can be replaced by the LM358 (most likely yes, footprints match). $EndSCHEMATC diff --git a/pcbs/analog_board_v0.2/highCurrentPart.sch b/pcbs/analog_board_v0.2/highCurrentPart.sch index 7778bc8..f67cbf9 100644 --- a/pcbs/analog_board_v0.2/highCurrentPart.sch +++ b/pcbs/analog_board_v0.2/highCurrentPart.sch @@ -412,7 +412,7 @@ Wire Wire Line Wire Wire Line 7900 4450 9000 4450 Text Notes 6425 5175 0 60 ~ 0 -Constant current source with 100R sensing resistor. Monitoring diode included\nwith 1uA being translated into 1V.\n +Constant current source with 100R sensing resistor. Monitoring diode included\nwith 1mA being translated into 1V.\n Text Notes 8650 3800 0 60 ~ 0 Constant current with ca. 4.55 mA. Text HLabel 9000 1875 1 60 Output ~ 0 diff --git a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-B.Cu.gbr b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-B.Cu.gbr index 9252dc6..b380455 100644 --- a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-B.Cu.gbr +++ b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-B.Cu.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Copper,L2,Bot,Signal* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Wed Jul 5 19:27:27 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:24:51 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-B.Mask.gbr b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-B.Mask.gbr index 95d75b5..478eee0 100644 --- a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-B.Mask.gbr +++ b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-B.Mask.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Soldermask,Bot* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Wed Jul 5 19:27:27 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:24:51 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-B.SilkS.gbr b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-B.SilkS.gbr index 0f6f8c9..d6bc1c6 100644 --- a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-B.SilkS.gbr +++ b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-B.SilkS.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Legend,Bot* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Wed Jul 5 19:27:27 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:24:51 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-Edge.Cuts.gbr b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-Edge.Cuts.gbr index 456369c..90dee51 100644 --- a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-Edge.Cuts.gbr +++ b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-Edge.Cuts.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Profile,NP* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Wed Jul 5 19:27:27 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:24:51 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-F.Cu.gbr b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-F.Cu.gbr index 88b6a23..4819ddd 100644 --- a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-F.Cu.gbr +++ b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-F.Cu.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Copper,L1,Top,Signal* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Wed Jul 5 19:27:27 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:24:51 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-F.Mask.gbr b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-F.Mask.gbr index 9bbf999..735f7d2 100644 --- a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-F.Mask.gbr +++ b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-F.Mask.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Soldermask,Top* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Wed Jul 5 19:27:27 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:24:51 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-F.SilkS.gbr b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-F.SilkS.gbr index 962fd77..c28eaa8 100644 --- a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-F.SilkS.gbr +++ b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-F.SilkS.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Legend,Top* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Wed Jul 5 19:27:27 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:24:51 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-NPTH.drl b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-NPTH.drl index f3f2689..adc8dd3 100644 --- a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-NPTH.drl +++ b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2-NPTH.drl @@ -1,5 +1,5 @@ M48 -;DRILL file {KiCad 4.0.6-e0-6349~52~ubuntu17.04.1} date Wed Jul 5 19:27:32 2017 +;DRILL file {KiCad 4.0.6-e0-6349~52~ubuntu17.04.1} date Sun Jul 9 19:26:21 2017 ;FORMAT={-:-/ absolute / metric / decimal} FMAT,2 METRIC,TZ diff --git a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2.drl b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2.drl index 64f7b17..53133ef 100644 --- a/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2.drl +++ b/pcbs/analog_board_v0.2/output/gerber/analog_board_v0.2.drl @@ -1,5 +1,5 @@ M48 -;DRILL file {KiCad 4.0.6-e0-6349~52~ubuntu17.04.1} date Wed Jul 5 19:27:32 2017 +;DRILL file {KiCad 4.0.6-e0-6349~52~ubuntu17.04.1} date Sun Jul 9 19:26:21 2017 ;FORMAT={-:-/ absolute / metric / decimal} FMAT,2 METRIC,TZ diff --git a/pcbs/analog_board_v0.2/output/gerber/gerber_analog_board_v0.2.zip b/pcbs/analog_board_v0.2/output/gerber/gerber_analog_board_v0.2.zip new file mode 100644 index 0000000000000000000000000000000000000000..499f5337e61aa250b0ee4ca2259e61a13add0429 GIT binary patch literal 86963 zcmZ^LWl$Ymur2O#aJRz&f)m``orAl(I|O$P?he6SgF6I*YmngX9$X&Zy+2;PAFpb< zdRA|%=~~lWTWTxILBn7}LqH%v5N03Cs-ZZ#-UvZJV2eOP5JEse7&{o-Ia(T;I2yZ{ z8G5iVu`-G>iMcabnz)>;{*K!d2f%IdDXAb(Ktf?(k4#0GLo`t0LP~j1G(_z~z~_af5ahhH|gO`)Ac%e@I`+MZ+-lrY-0JR@wZxz$Ww0Jd}D7|m5zo$3f z@7PA>il|*e4X9>QP=xs)I7HI zFVE*?*mJ|@BNvakuJ`7`C&2fwD>^Al_Z3KBviWTV^Dp%V=kO%8UG|+ta@Q?LNMUz6 zVmBuF*g~dr|N9DZ=cGc9J?oLRs$I52%mJU0i5qY9pYMyha?tjZFz2{jzZ`4q&zd&v zl7zlPcGw5!a;+>k2P~a$8T#J#3%1^&QeN;l|2QtV8bO<^fA$dSPMGw0AU2I%#hJ;NnreW z*KyFIPQrp%Xp#>=I|Z|rl%W{j#WpCp9Ukq{@k~vaxmvV4Bkg`i zT+2+mcBL z+fL$_3ovw%6OvKCA7HryO6yB6(3vK6mjf6>7kq+@ThI{y1tS*ZC!3vhqWOjHWnA@O8SS%vvfS^3OhG~C_fe$*k6iN zvx}fRiW(|JXO2)=j!?2T815jNX^9&2Ipj>~HY@1QdC4cTmeKT~#5u4SCx*_LQ5ft4 zRI?YMNEPfNI7JxrO@yQ6ACpF_ahk*R`vJ8N>=1bH&@CAii*X(EH{swq(TCl`W6V>Vo-M`2QCA<|v~rb@O^(HS&r zly`83`6NY4{9(0>cM^G{hA6!UBtAD{h1E;8kTbS^SYuMDRmutzov4Sr?nRwnz28>` z=BzG$(WA=AiN^;&j^+^ui%}k{^s#nD)tcWEhAk%A-_s9q(iXjl@|YZJ9+Rye0R~7H zO6s)PgQu|L^VuH~j-}!soIe;`0gYe7>eu~D>Yu+{L(p%Ch<(LsT43#GxX={Qw3Qs_ zx{pMB0o)BApzybh-z|E1)Am>YF&`qvPtvYtD^lF??890Wmzf-&laH+AjPk!uG%9`y zWZlh)Le!>W$09KbG-J2D3smp)_4KA{#1FjhczJlCDEvcZQNiyJsKwYL7_3n7vr71B z(_@iLhrPAP0ku>|@u9c(?K_<)Z2XQY$0AK;=R16ZMzsMdt2j6c1lq+wMx8H-!|`H_DJ81kRfgcNG(2*=4*X9E^K z{Bb5H9B>#P8arv^nx}K5eo6CteifZG&$3aV-u?=s-_p~{kdJj#^*y#N0i1kXb$!!L zG;Y)Nyn@}@CvMGssYtty+_6bDQSmk79s&O$(IYbfe9Y>{VZiylcGcISS;#;Ub2H#j zb5U@`r9JBhr-~x&4Wj_4n874c_a2!a{Fp$;w-RxyHD@Flabc3?;T% zjNFt^tQx5i*^5yYalNXas!YpiMIBon9O30sj2e^-KtoIrRrFbn+=wc(L`!4{-v-EW zR!{`7wHjV!<)4vfOko$w20xJkU<&ZrcUgG zr!?XTZycngaxs(%$tAQt7-CHM#179IpbIA4Uup~kTIWi*C_n7xTWLHu6(G6WWDh2`lvfK7z(Rv8^)hiOF z+zm;JycS5M7ol8PZWuLG@~kU56rtUX9i=}uI@dXUnIS7IW&%VMs+^| zxOh3FL6sB%xU#}pZ_|sTAp(d^A**2wj1sn3wX!&8JJz7%wWz^j6RZNGuT(hhhtbjWL*VB$x+3Eo0|p&}gxfQ83(jco9ra_z;pl1?hqhf%;P)Xu0*7 z#Goy^&qAg%^Fr9oLb`E4Kia^JE~3Cx5k%HlkW=LN;-rMGP*|6_mSK8hnpaTd*$Gm? zD6)#PVyngiC&KGPT#>Xec|siy)65S#*Uo5jv>s6S%n8l-N&WOig0)1x-kkeh^UDyhVE( z23@SJM)_Mpz9~!R>o9d<78g1TAkju*S+&-MtrZ3ONBF|zT4OY3$GEoxti?K;H(P5G zsG;g{a!od*$u}~tJr_ov7v^QnqJHg5tSm_N*I(~ zXNFJaF~z{u9R-EW6)lE?cWUI^eRooqH&!S+zZ{XX!Wf|@o#I-z`;Uu7q$(hY+h(VW|7XVt>n^7rLQuhMDDErd~8#<}95FCfseR=cW7ZH0XZRg1_9*LWQDWWY8!%yUtfx)w?#8 zQ5?Ge!e4B|s&X3F2g+Lff}#^k5d&I=2Nh6AiUf-lBV>t1uPmdh1kIGE0reKt(cf2{vY3+r6ZCSm`RiU>RBwPbn~|h&vx%b)h-SRqE+c;x9Oq z(TS3QfMX~#+Bce8w6*=E{JegUK&xV>rJp)WwUVYl?Qs{n#W9~` z3?Z`PZ!;6Kdl%fMi|=b&S!9^IqD&9-mTs)e1#9N>JUqX+G6l)pV{^bOIPwdOPBY&w!DOeSifB8PA&HY znK8IZHwloZJE3xat1*+-$(cC1bt3~14`XyTESIP#Xb6jfUE9gpX%8D$d8-dv9=wu8 z*pBor-c^_f3IwyVY#;%fOCYcvG2Aosl0>K1wkrI~yb~Q%;B;dKSL(w~@`BiqW8SM+ z_Jzb#<@5XzQ0yy0pKwbt7ceTS2Ph#Dq@EAPMRT}aLwuuUzq%pQq#k0Z;x160?QDQy z_i#;U<|vu6`WBBtX={cU_^cp$YFe(wHK?Tb=V=$mU!Fxq|8Vuqm(o`I882jBqp`Pf zXf9gQDTc8_U{dRk=r!V)8w#-Pj5Sz!it+&dFo?I5U=UCjMmsDG0e8J9Rh(UVOb8@G zts5I%s0j;o%h=OVLwNuWlTsE&%e6?j-*Apo5}^}o^^)}_{p}_qFaUU<+(+8OH3e8B zC-ga%F$&}=#@ws{323>KhIe|x=E;3whQ*%|A|i>StXo>^eaBxFyVy$71d3#5=L>^7 zPjTbePyK`o)qaK!b+bAee;baSN8})Sbf@_uzpb>O+4*67cbg-zE{2Y1~c$39nR8D zB2&S-YLaI?$J)!ZG{?M&YMmDCWB3CJQ&-tUQp_ILsR{piRy4&pBgmq7gq=%)s*JLt z7=u45g?<+UgHuqn9>y^UJmWq+XEa1#j3X7i-tS1*xsTC=;8PsU{V%eEZ3PpnG@)at z(IiH@VmVhbO&3^MT#Lj2ZY{R>CRf?CkoN5}x^N5|wDT#@vQ==^Ozh{C;~KQn=-?Ka zC+qo0v1hPIgA>xwtgRo~3owY4Gl$ACyCgpRIaxC>;rQ9c-e~eZ6xJfs9NvUbUl%;?N#!RSf%1ZJ%sb%rK$g50_=;u5thx{UJ zTCe#G`8WiEP%@FeR|MP0(KJ!D?U(7XfcM*$Ov~~fYpM#VNXX>Y6F}vuoIZF-lek2x zJo;Qg47@~*khTUMtDVIp2wpSsw6_!O#|}CA_t4HV-6&#Yd?Ym~dyFJM-~?X5R8!{N z`Es}nW!^?yQCYNxsqM_GzMB+HJSPtpbt~U`_il|fO1-R%Q0%2z(}1okhY8b)Yh)JC zrPHvLBBx!p-b#p03yprlyRv&xqdeu>2gXL`Yfo-to zz8w1wCBgPim$)j3lJHXptI9;U*3S1-=JDkYOJCHrEmUn~>^&V=#AL564IO4@GnVvQ z1tnlIJO9L4Te7XblFAvnL$C?!wO&d$#LS_8t?5H>5x=aYuZ;WTB$W*yL*M!{$D|xC z#{bZG#6?%I$Edzyd{$e}t-X@UQ}p4be^t<>?4s@%*hKn+5I>~8WX8lU?qXGVgP2a`qzx zyRcq$crhmJZQTj>#$nemM+!~j9=gxOhTtITx%in3)17|ee>SxZU2@ZS%>F6qrQjCU zi&Kq3im3gWi*jxb{~doO1G;d)Y!J!^R`jJu@5oe>FMkTpGG%aEh;VMiTgAqu~S$9b!x?j0#I zcU^c`tr{UCmz!`Rk9~~??0>Ek+18lit2+?~IwRqd!xl5MWYj#a8;+^_4!u<~-}+&z z@tC9J%X~LGx-@3R$9>h+GO;Q#wh|l{G>)U2^QNQXQ7RcYtUyqiRK;+~Ed#2tD@tVe z~&TOF$1jvKUXqR ze$5y;&TqPG3vwYGwD$*2qJ}TdY`I-bS-zkYD@Se8k8uf`b#YT2jIVH!J4^8d3=-q0 zu|uQpbE2T$myl5v%;a}UP$)p%xQ5Ucu&gB$zAqH);}w*a_fT#+Z4Py=ZT|J=;;wsY zld}j+X5~mhp3hRpe(R>|;|b^w-B?Ha3V94U;^SZap?Q57Ki02O>(;kMYx*cI%X;^K zFXAV7X zYq>%*p(4Q>Uko}-RD0x3dik}3?~pr46a6a@p(&mcBdsDn{Nj~sZ2W+)jPFybCOj4K zaxZggSvPsszi#k!R(iu8a*$o*{I6?f|6kXvZ9Qm@UqgnrizsChx!tyl(Bu)ZkE|6S zPNLrLFTUJ-GfZ%7W8Owip&j=R(sI`S`(2rjZhV zavF5yj)5Ws@Q-v{n7(2nco_zD??il>aOi+vI$97oQeu*kPr)uAG60&%=mj+WT_A-5 znY2j_bf2t$5vw%18ihBs+RJtat>M0gEa&Ls-@-J~6DH_T8fm;f)pfKKwIPEqXp{Wv zsV7-~pj73mpe^XVLwwZMuCD3J!;6;(%qpp+_yb&3b{1Ly;N7>+B?rTfOh6}KOs+80GKKtY-SY{N#R2#3PG8-Czv z@3&B~wfJ&^D}K*y^11L)7~BG3@!o_xbe5F5FKXYA(4?c=m`BwR$hNS7>wQc>Apu|8m%tkGF37+qNLk!OSo-RjEu&e7D?FqR2v8=&7uj$Fc+HGnR~iu# zjCnf#V3?ao|C+j>kC0I9KW|O^dFFE57XlY{DAXvT7UG^+>#T1rf7m2G5L9YWhuH;c zuTAQXoxi77#m3uqA@K^cj~BadOYo#0H>`}#B^jV9Xa0-8!TSOtnvqLdydWEl_f2NrdV25~>VD~c0py-IopbiMjpwbFc!yS71_lXY5GaQMwavX^Ov+)(u zTc&hluU~Oma>|Nkyy>#U=uyRPNfzU?U$zFtu4-G!tUY5sU-D!Fb}ZxkVtsD(7Y~8& z-Ye|~a20kA3B;};L%f{$I2@e#CH$QD68J=~f}Xw8_g5ADFW_4|f(>feB8)g!72HssEBH`xqLcNklFm zHS3Sv%&0}kNd_w2xSevPMF_Yl{;8>(3@Z)Ya&GUoe+TaHhxD)4s~c2cGU_$N2aH&S ze=)DHP-x5AZUbYc1j7`3_ZMd>-aJ%#usZig8a><5$Qsi>e-_fLhaYa^xpgC(nh9ok zo!C6n`g7jiEtS^Z=iOs0P`5WW+PgQrjy2!dI76Ps@hMdmc6LvRez0PS1Z=zddV@)g z&%6S^wJ)&%O<$u&wd5x>T$8JgpncC-mB>??^k%d6Wx3mS9wo;?!)tg6IS*x*J zmJTP`U@3Bo1qBjPB3^CpLL?0eI>5QoN=dQWT`9Ituu2V(x`Lo45+e_Yqe3SVrAhzm zC-wESA8bykBCson@T-(bEDxq@Hl_0p59VP8rE{hqyVaq-&9ilD_QP~7Whs5N8+r&}*7U%UPOK#LoncXr3Y65P>lyj7!Nu+oZZaV|jf3qcr41$BGcy5JU zdjbxTmGJI{5cM0PWQYNFM89{*yN|u6ZOCBUyImg0{%UO&9S)k4=q_@lAbSF?S131A z5Q2rM`EI4adJ^ut9cOvmNuW^N($Rb4kD^ci@9l++3 zePvEp@Z_1O;61wp>>nak(VX08whWDC zZ-2`gO}rS1p?aemLm_vEWSO}A*CF@39wOE*5*oS!n}fe1{39tH{mrig4(%4z-(W!G zS^eoz=m&PK=KlJc_BrHzl3b+F2Ej>dtmMq4Ce;9$FiwjY2pyT-t9Ni8M3YdhiLTyQ zaso5@qChRtAOT%6ehG`6Xa#V4>JI$PCr(*B2KOAd4x_oRe?p}>3Bp7!08Nl?F}J7Y zpyoJ)6b@#~qfXIQ#y%-fyXS41%`qs{-2R%ayqIm(3E4e317H4a&(22fkF?LA*$mg--R0477|c+38_aNa8$4nCDQTagGZ?(KHDQxZIJe*IErR>U zR3vXN>6jsX6uw=c4vw64Y>~mC{Wf^*cPU-L|Jd_70>9QMmN*B3PQ0&OPYCcR2Rb?XDX$WMZQ z%W>fqiLuZ*aTZbU`6V9Mr(yxpqYLgwFo^T;FATMbP9 zd5gW|;jbQj&Og*7M+KykQ|e>-#$^c_MTZE+wiHl^6<6B@YExA@C%acA)_sL#YxR}3 z0N4Ww#oaI?5xSqHRTw7&k-EiwBBS^ui>M}9-!`H*646^%3gIxN_oZVPeX?j^GbapDJlLTmjT>~ zC{J*7`K{?6Np#<3AzbcAuQaFjFQ(qP3o*IC3UN9u)kLfpA%O*~03O#DEh5g<2tJk_W_a549ksS{Pyc zt^8X->6=VecG9RBt^b{!%%l)*V{fXa$zw!Xl+50N+1`vg{^zDGUA@%bOa6j6sca%^ zP8ruE)u1xfAQSTIj;0BEIVGqM9tNNb8Ozs1!3!#XG>E8^U4xrLh=k%#_yUn2;c+eT zX_YzKiFqZ4Na~8&MA}jR?s={2uKh1V-8Wef!Yon$73e_*F^9BMTPg$}2s+=1xq~E+ z`D}59z?ZA;n@D0z(-F-K%Qp~lwj=j1?kfIte#wpcc9jTe2p&UL#UQj>Hv1@RHKuQt z-cIXCfn1wqKBCxymJw60Xl)21sgBYj`))p5W-8Pys~d|4jh@hg`PKFw*lWRjVtYUE zsg7*#lYA!(=sq&KQ#d9!BtGlK7=6kNyN_p!>3v)Tj>M--E?M@JvK6wRMCZ9lbIGsC~VcX52dq*%AmjzcUqX1^Vj>`&YXfkUK|?1 zrBX|H7nT1vBOVL2qtWO(|71n>gZ5W#TtO1`WSk@i9DX8#Bb`Zcv=i zs1Rm;2G@2qx$fbxq*srFnxe>}#2+%BhK`RNiHmKnsLn^=dm0*01(gSps!8~*T9Wh% zc*c3QL-O~IOPnTVWQbTtL5EX%~hS3!%SF%fSQtg68B`pA& zVC4K-oXlU`CfpcVE_W9W$xe@ul!XHWYXJ*cq%8t*T?k=9hP3ru2(eFwlyNQwd8!F_ zW=P=VZ%+Q28nmnlhZ#IXt%qrWF5@$qD?5d!BF(sicuP%j_7@!_)*hu2x&m~PB5k$me(!1RDkc5i zV9QH1!2Fun2;7#S1I$HaM8))LlF=dVMu^L*IG9{TN2qyuqb-K6!#yuZt&Ie`U zR0caRMQa@i3_#y*JsItbfhdl_#~avO9P`2`Y+lOPu){6WUJ%BVD5x#fC}@)|?wQ7v zH^rz(MBq11&R=7V?e~#?+e=eVsm^}g(?I#M>F4i4FN67aD8Pjtb*(+@VlC?`SNHSL z>~uw5ZEu0Xtw!N5(YpqJM`6I!b?3tgb-X!U_}ov@q@VMpIRSkJJ0wo(qNs#qU56ya zcR(fUbWK4U`yGXL1z~nd<+IrGKJ~U<h@>p0exzUVUC0}%*TM|lrR{|&;6p^6=#C0~Y!ZJ?o1H!q?MJRVf zY=#ye`jqg&Uf8fqMtH$uw?c`^COdKPsZ-`;L{ghDseMZxEvFU@ULA@Q%Tg{~0}U%= zyXEWn!C~4x%hCPdq-DCH9w2UvtoJU8%FcEuf*jdm-&?dCDG!g*3e(*H6%~(Y)jPmO zCybIpA1wRD28WUXC8|4hAmIWck&tU_XoHI?bO>#|-|6Zlh)-UvOgZJIC9Eb-siTcH zLle@_Rg$}MB{k~Nm9P^*$15P_{ds)m{rZY{8WFDmSAt zZW9^eA)+^wNgYeZx27bp>3}F??U!^W8!P^_9_~Jv(z`+TM7>kB;x__$8LZCg5MH7? zJV)uNa<3@(hMfvxcCa^nOWLW+%!z94CCB;8mcq$*l~7njnkZpu+Tf$T7-^ORsezDT zTnc2t$hFPDy-X%_lcyGs)WvH`$k8@pt4J9PR0P9XDgu${<%G@9LI*sB-M1xCNj)W* zL<3kOpBzl5fE-L1?;K3=p8O=BA5E~Hq708!S+QQLDmd|{B@66D84T8zzbs46Wf{!i zql+wIMOUiMxI2&4KiZ|btoL?wgdopxt7`Aq2pR-U+$@k<-xj6q*$U9A1n$BC!Jl$y z>Q{0?KJ<{umi8twUB!6Me7)~u=% zsicTU52sjM(;=7cI9Cjkosg@nclUDCYneZHb%Xr?ve5tZKS2Ia+G(^~q*4+6+}IRg z(}prkO7gQM3s_5?TR$GV=k(T6p+BzoP+S14=wfdh+^bW#Rj0u*RHcskWTP(DqqB(c z33sa0zdzcjQ+~q8PdM;@O97wo@e^+7EM7j}W%VFB=~d|$YZ8f*Ey4{;wTL{a*eiRh z5n+GAS*ezwU3L3knk_O0i@&_oiO$vS7c^VG8Z1(Kt7mH><$o^I`X#5Nh}UCMX4Qr5 zCEWhaHB&n13UWfu6V7Zou?9%<2uF+Sl*ltkf1}? z49p1Kg@h9MoK3j#m4K}wAk;@puZ@TkRqHdijF$$TAq+j}`@~xj{h{{|+}FV5&eXGk z@Q(^bY;gew5SZD&VET>7>e;ayAX!z0{-)d05~~#SaO;;Ej7dYx!;ruE0B)v##{N=@N$GonnH#c?VMJ5JCK~5@}=s{Tlg_+E)-0}fBuvjB- zH)9+a^iWJvZHu&la1cf8iGspBKTA*rE{EYy7^o`TUEyJbkmtiVr#w(rZ->S_ez}zT z5)?^ILAK9m5P)z!^5*lbEcgY&u2mTz%|WRcTpxw-?flVAzC`9= zF@g-y=$Cs;SoCL1KpK(pMG6Qldj$5tZ5?J1B` z{6MIZP;61;51#71GR)qjsjDX%h9*v5m2rrpa6T6l48D95_C8!m;(|M zQ&@IsNKTZ-0MVG4>y1AuCdwPvdpxG2i0+9jqRLOw%B6jvA`DRp1_)dVSJ*ZHtSm%Z zxlDcj%PL#09i|dyPz={sO^nW=!Q-@@bYds1@|G@z0p(gM{ACo!P%wcX29SRWsx5RE zG-c$})wDcgkG0htk6+2_z_GB)5Sj5Cm>D>tZKMuEAdb-g1s#~k870m7y8;d~Il~-G ziZ&GNi34nwt_SXe+VwPxi9y*piEt$d`xza#9`>Rnx0i%Yrb?j6F|(jECr`f3R|A|*@2&Ib#b@C?x)LKpk4@lAK0 zC`j^41cFTyMiac_h;W0CQME+g$DW#EPA-xiF$*#%gQ4e@CUdb6S{4dgG5d*RsQ zL_ZpF8dz>J#1$RCz=Rvo9IHMKic{{3>dANY*jON#KM;v%8f6WU=WbJ;R9Gc*|Dg8+ zv0<5D#xyg7aph%WVzQJIFgA&`Mm_9}!{r^9(xo?t)W8j^-EfkanyhtsGINqvWX?!8 zEog)#IEa>*xuI^M_wBeN^(}Ggwtfkt7#m@c#cdl4L)^KJXhW#_=~=cD`FLB@+|jIv zuEKK?lgg6Y%xEZX#c$`&B-Z1K6)mx8A(npM6gI`hGK$Y1fvs=UtWZ`y&3VT!I*cJ* zE?Zy0LQ&5MTaBBnG$z)l*cF4_ANi2BslqOW2)a5q#oUJ)1DF+wTVJC15T8Qc0y)Olt|FR zA3;?@?ro$y$yf2V+PN(f{=n2l?Y}mbw=tTQ_I%vghIVDAi36T~OdNh#C|JMBZ^iJf zxOV#6n#AZxz0bJVSFXSKoJ_BjW(=u7$B3g{E1-zQ-LicquOVlD_V7m!BfBe%bc!B* zB3=@+NG0F^uEeJmR3IN25ket|(1z6id74tGNCw}sSj;y$ae$KV^9TBlO-ewr^S57m zTP5tXsp-t-XU;eWVdX*;C=CaMD6^#t8U=V{F8`JGhk=kNwNibBm$?l2a0JN$UCn`$MxL`k2fh?=48J|1<^jpHbR4ictjK!vjtN)2!Mp?B&>EyiC+rl&%i^UB+OKo_L{ia%1p}7jyp2s6)Gp{0FUVWqB)^`xoR)%c(?^TWB z>_%LxQhZqfN7rgKeoC-Nbs?WC9XDZYViyoMwq=qQaF z{bf^2%-M){67wqXl_bL?)m*0ocYs!ICTXrOO^xMevCA<&M5^XroGoRAXhv&VJDCVE zxzO?v%&QX^O?DBv(3L`}fnYZj{C&QZ)@`mbpB=8Usx7Xvm|(6$y#|GxuRTBOs<%jn z9()z@ab%$pa%el|6+9VOG8_7&2cZTDk-LOii1CD@V80>a9vx^EV3`ITM8ReOaJlyv z%ewrLSRq_XWil)6p-m>0?77A)qW^75EmmYjvSj3;RK7uC{}LkKxt$z*o`2Z>@)}qf z2d&pN)KfTm64=a=`E`g+7TwC@A0+M@@wr@4c^n?dJW7B((;_yF^>|bH{N`M;aASI_ zB8PcPsYB&=YaCvc)Gs*W>RkBZ=)=Hhz%BG@( zIK|9If{FS4z3X36gpNIA^s_Vj?rGYHB#L((uzxpns05Z){7KiSh0Z8{HRA@w>_o6+ zR{oaj8ej;BObF=pPLI$g2BsMf3`tF)U(a#sC}3rP_L4eO@_)@KEIg2Ij+$TrRi#H+R+e=qTZHR5l?GHUMRX5v#Q zoMBo1+$kFFDs}#o@#e51OWaJmFdesn`lv%RjhdhE4|x%2-;^+5bBFF%Nd20j3{9x` z%%Z}5JQ#dkj7}q!>`zEqWJ`BdjBdeyES8pn3UjCa3nId0xK^CngKCAjw)kN<71-Sd zmRwFn8mUz?p@lcPUUY}$R#P?6)FJ4cn_W%?Y3z+Pb4e^Ui)bdb7t;T3EVd&r=wyZC zR%3CaYA5bU0)BIr05aEpxgEx78K||Q^N_HWSrK-^+h`on_lhC#+@3^Q3*%xF!P{sz zdEqwo%{A0sciegV>1rCZ5DuF}gDYLFY~;p{jbDQTE2#<$dR!S+dZ8nxPr(3iFItyn zZ)h1cng%6C!G_agdoiy(0H-eO0Op+4O`GyaFAsD<;4fi1P8)CZ?6 ztsU#6lf@up8vL!qr^mOM9S_?agOXpD$)aB1?;H;l?T4ODY+ zj4C*GQQu7D*qL}Q1Xi*yARwG!r=mF22 zLjM!Q+CcR?j#0+ZPA1VrZVW*eyuF+>R$>aFW}vSbD8@ncvpBVZiUgHW#)L$!4-H*! z7LHNoAUq8;KGzV4yF6kXC~z*c-$aq4TM&+ZFc`J~A7rt@mcWvQJW$^}KrV%I}{Qn{&7_aX;ESLyaI!}wdK{RM~ zR@tbT6&qin9cDoGyDi`HY>2WXCE)DHv*Y+XEHxi4ex{aDn@P|^YtsxYi)oNS5Nrnr z?$MrB0jy~dbu_FE7p|9KqJ&-`4Q@G|Rzb6A&`vBY5*6;~tQL8;Y0!f@_c&~VNl;1{ zY|=Jxcbx~SzXw;ZzirfugMroSFzmqwnt#FujrGhvAJ19q?0bK*Nj+!RCxayN`X5SHn;6{f2bXa6!sn@wGHTtw$}j2Ql-e#r&E=W`$JhPp%}69f z0$b3HjN_k)Ju4nb#OBO|OG{rMMFu$f8s$zPUVMtfLj*{#4gqMIIisfmk^~XCqtjay z2h3MW9YfwiY|V-UkSvE)(QF37xo;WLiFy26KH>DzTr(%r_!fIK^bl?SN~{E}UT7@5 z-_Tj6b|7V>E+K0Tv2xqw$&3vD>OYD5i~7xRcnW+5a*lOFK)zdNF&xYrk~}m`CfpgV z&A=llIczIgUc=tJn~eB0@9TjIQ9bDt;y=F}%PV~ddFyr`j`hN7(}vnYTsw-Sy{i&1M14A?EG=U; z>NWHk*KC*fzdQuHa+J*Eydg)%kcRK%+|te4rrnMb1ghjM;E3LElV~iuK>+>yKoCSg=zAe8siNR{Bv!HT zCCb&AV^~u!I{Mgl%{AnbTrju}jMln`)%FN;usj>iYE867%%_t8XhTB~|Gm*pPHz(k z1%cc4-CjWWuXI2fs~7f--r5j8A#{p~39|Aq@(iI`UWqcAT5b&G-{MEY2ltSX#A@&e zMeVTR#co@_XJC3?Jd?K5Jx+(@)eo#CPvUOmUkE*YqVjfuiwHdsD0J{ML&+mpEwbIi z0$h)1C;9mV(M9*n%1@zzA-uw3AbT<3F*JjG6P{TSAN&lc30JSsDagnC!jOTPJh)+x zVfbFB0AFruSa;oV21-%b#xa5N0w(!WR$b|Cxex?LTJ~J^=n3Se=I#49m&>~@cVUhU z$xVMlny6e`cK}!A z(F#d;+{2kM02m@G?}g){oU6_!7Z{^%_GX?zn?;Z(-JVyVYd@@CZXXRZBoLHr5EW zhsPV;S0V;1=#3aLtI(3bgLGDTCA(%9sx z3M#S_qiy9EjZUB!Qk2F%E>LZY9sn=~{i}=mfToch2m095_UWO8`mG29r*d6URH0BA zNoy;ZU${=CtYaE!OAfk+LW}%lO4Ua&JsJ1YmHVhNCC@Ky&O1sLc*!tXYMSn3#{8(1 z+o_zIYhWs&n7&f9OuNciWxNXgXNZMWJvwWglmDk}Jzznnp{ zYyNbq|Cs`RCJ(@|5)qW%$YY4FJ)p%T8w57~35*iEZ%cp0he+xk>0TItNEje}0J}<# zBx%25LY2yy;r8yCLP#Goyr+^oF1q1<$gXcR?$HUFPmyV{#-KY_BA=&`_f}qi)-lHI zHJdv6v>M)gg#h_{BGT>F1qQ*PLky0%(&rO%`{isD^EJk`_2m(h{0^B`45U@;TQ|w2h|Mea3jIkIoFDS}#(+of;f} zDz8(6e%*%wgAP0r=JlA9^b3gV}q1#H|EOt3xRN)?zL&}vddtP!*kcV z2A6xH;`O!mp}*N}Al=vwklMC~3=Ck|1cn6`La`!vG`w5hTqa^Ev6_|t_nM}HA_CsV zHY)wpPK>{j9qR2yDPwbVcKz(1snd#=L1PewdAMl zkB7W~2lg!C7SvUw49R$wM#S%Am+tPn#*NJ`g_a z+rIj45)kticg|VW$dHD3%4o1Mt*>8hrn%^$-Z**iT>9Jc#l%3#I7aB~lrH=KF!t6_ zaWv7}AP^jayEDMx0S0#)WRM`i2^!p;;6Z}B!yrL}6Wlcf3-0c&!5wzq-}jxf=R0Tj z?EZ0kx^Gu?Raf15s_L2QTO5nCt{!g-Z-&~z8mmN3<;}kw6W7XQ8rWH9n8OLG7i(i{ zAPwe5WxQWf^E&99853}SR0&gx#>l$IrTyM-w_3xz`6y4UwLD55YSR)kqDIxe>@CXv@Ft8=3a1 zt0G;^@OMO1*{@vVeh|&2(0bz%r_hPrFubuI!>O>d=vo2%yX8kY>+4oirDPbS`Ibg8 z#1*)Q0;Ekzc|TBu(O4mnncAaQ?rSi~IWIIe#kD^i{;nV~e^*t5U95zH_3vgk#c_mK ziR3VM?`GDI!1SFGN<~#L`@BrZg`HGmKZO7eKoVHOT0uDRO9tVe4Z?2gF*BUZUY7+V z{i|BFq#AZY{%|SHN8Hoy>ODykLR}`gV*-XBcC$KpaSAvkcoU`X*o)$jaFlVr-9+H{ z0uEFZrKnEFZPVQ)6rOb8E)Z$%BH;4Tii&O`;I`1vrWg^z8Rhd;5OI{{ZG*GJaB{dq z?^2#Z=ii|!)|!C64W}`%CkK|Oes<{%txR2w?5#ck2X$#Shzz41kzZ2(pO)zWu8D(3 zgoC3bhROenWqz`@v;Fj+X=WuG*pf*Sgq~lq78B6o8d!|^3bvG8(ACds7#k_ca1Gz_^vdP=rc`mn?m}?O&^<)W7H+&c?{d9W}Q$o#nH#K`GML0 z1iQ_h;lpulNuPt~tms35bf*IqF4Sntl}pd@&#tMPEB^yf3CKM^ZoY1fZ^+g$18uFa z+2ytdxid7z08`zW#b(E)5c7I@Gi=KdA-hn>zR}*5MgN!`msm`h;ZTm$GsR6 zKJ3lwOraeZFG;}5lN6%Zf#ID6NZ=;AODpP}e}Ba$h1=@yu1SFF+cbugc=yxPI3|`{ z3-{x9_R!doWia5BDdIDeN#7FXr zizZm_5)pkVGDdhqUrjNmI}$V~08gH)pYOC32}kbBioDK$;kwLK!%rjg{~eDsS09h^ zIT&$aL!axuGa`5SLzO-qFdBp!-^0H6?(?P{r|}mFJCkyoe@{ZD&>I@dz=*%{Z)`z|v7VPXPj!0(+a(%BqV9L;4VH7(%@2JD zf#~;Wyf zs+_)SXz*9T#nAd$5&C08;=O)YJcF*Ge=l}9Ye{65YwF=fp^;O?5nz)O$9yc0DRk+( zr){b{M&bIu#5M?W@PeP=(>dtO$V3bfS3H>+YDS+itAb7hemCZz zox@a%Og9*mpj#L>f%oVs_{gV8>AAJ@&D2epj0((^;W>1x(g z@y%_>hC79V2=$l8Q}T*nBT)26=J)7pqgf*Xwgv)C~LL1g~jaB#Rhi2pBchh+b^+oAepxi}u) z|J)9Bnc_$xAeOjnfJr3Gz&K9y7!mZn@L10t>8BZ$86GLAG#^lJ>Z(sY+I}y*8X^gb z+&&kCVHp4C(O^z%Y4{6>{i%s>~+7Z zzZ^#X7uTEL$Nj~8Z+@$+`#t{MjDRJ#m-gFpUG!nb;I$~X^ZB}3T+sJ+c{9e*@bNn8 zH~x9&_0}>+z_qsZzY5VS29Ymp4`EZ(UH-SncU{ksuWW;L_dA zu)Ml`V|N7qyn}xASZJxdBZR6;=>j^vW@cnw#a3_9$JZ>mJ zg=^?dmLmfPC&2JA?4R49Bi7_${0ha^T~5E{!`Z~ZlXzNEkHzv^@}ZCZA@m|Xri6V*OE_&mxqIqgukEhQ#gBAueOKhB~$w@ z!Pf9KM|sj66m*)s_&oFG;mVY%DCH#t_I3~7Jezo^c0lR*>qtHRZZq8Jaonh2=L;Bt z0PcQ=6tRg1P5@y&LK+KD)}i_sZ(>T-hlG;w&rqHM#d%5+wS!n`+mJ2k1W>BFg+38Q z`;P{1DoRL=!}Q0mp}Ftb@d8*|g&oFA8f1A!5sBUEDv(@UjAcaL5@O>lL>^?cAjz2u zV#GAb^eu&j$&HV_z4;R1g-9R6#1c%{nTFz>imCbvkmwqy2=b8JNh1+{h|w~$$G^L# zF=hWnawCDmu3A8;d7-mn1kG!piJI zi3a@5E|n3|AuvGG#~B`S9c0Rdx|!^pFF937?34~pMur5lO2&r>LpZw*$7hICXZ0tX zPJ2-GIlr9Ky2v{@VaqQDF@D7e4D}1*_Tk*6QmseAI>hgd6qWGCMqWZdx?5R<%nc67|VI5I*FAQsm_g))P)yZ=OeE~eaGIyT~wsE zLMskBUuulBx(666cN2I^s+@gCs3pdlGNecJnN-a!I_HlYJZp1)`YlsduUl5FUwZtB zF6+I_7$$A|h2fwhy+#Kp%8e9Wu6zVw5Sq+z&P`EiOfMHuE?uFX;SVRtHf0veL@sO; zl%MK7?rkbh+_kOo2O38}4j0WKjrpz}u@^;B*?g5=^;ZT!t9v0ER30FQXrEg)K> z+~sWdjS#t5@TA1tnHH<}h}1Cr&LEZk5rkD1%&8OvpG|@GvBDTkUQh?-B0B#mpkTvd zu3cq@zTymkPu}{txLYC28Cg_AKS`|DN}%_PK!MR6(uL_slOJ;@^_5O5=s;R_q@DQF zRVNQ`J6@gJA|msQH*Qw~)8;qYZOjElJYyrhc*BTb5ZjB^)Gc`mjdyOTKkMOBjDp9D ztU}ARK4jTZy%%1w_^~qOsEMWWl%L2%0HaWcHQtF%Lp?6@Y<9F_v9lH9=zDKanx{!t zy$b`T3tf0&if$2Tw%&EyaiO7-L!V?js6(T8EGh<% zFLdx5y2}Q=P1%h^E5bY#J%}$HZi?0EKvI`PPvUeVoVU8BolUVd%{)gZ9BrwXn5KtU zUgxS^Y$_X&ckS9a7!({1b3gU?BcAp{QA2M~FpdJFT;df<@|yNib$hv8VEG0(v3iB4GGdR4g4S( znWFOpVpXi2AEq#aA|jud;|6VJNp1TjG(lv+SgyW`cz+p@P@ye_vlJ=g_d!Cy5bft9 zP5O;6`xDw@s_0Qn4ZiLNmKV!rP& zcfAzBI9oJ0E({|%b=O5H8W;+#TGT@-Y_$DpQA7O!mOyyAcKDn&o0dzI%J+nN+$ z3@?}vxC`GN!Huai5P+EDoFUbNvM26wgQx#hUG;Prvtr-$tjt53jp!v=>g3JSgVU5C z&;)v6jEJx1MAqDgP)t8j!|6sUpyHGM4atvO?(SmEaZuS~jkIw}=~UHnBeAsSSK|{m z{J<10*@%t}rkSoPsw%nQklP>z`+kx6#>&fo0wi5E)*OeQGYm__r(20n3~3H-UmDpAHu+m*5K(B zAeM!`DyrQPeClmCyY0|Pyy8bIA?0GebqO;3rGRv2~VW{oMx5XGlrvrqY{;piGIC z$A8^a7)gWFDdE32i-`}&J)BqGyYdn!$QV;BE*u9}WoS}WS!QMAcf>O_*wfX2d;2QJ zU|jY&L(A&XJnl<@wbk`+gYKgmVv6tmglZJj^7X`vqE+vXaH&6&O5U+OjAtbJ0ee13 zwYHNjFaHcd{oDX5*XWnxQ1^2xqzJfDKRsST`v9^itJQj~_ST`~FeOP@9L-WSC&~cj zD~j6pn-0}q8jH$BI`3uO_EM;(RGfUR9Vx_LhH z+tioC_$38z)nGNmuW2falmp4#vbC%A!NC=peaCf)P(Ci@knz*TPt za{^I&4gvHSax`Zh+bZv@|EXGb+@DD3nI1wL{!k=Eh9!pg6lpwGtNc4Dhu`}_xHg8jT$C99nksMw+W_&kn7BVtj;xYz?h&VKpX-v~bp2QQT5&sAwJ( zI4)(Uk&$Sy{5WOB_P(uy4||pyJw`P0#V|Va_{&_!29TgpL;|Xek)q18LK9qqr#X`@ zVO;rB*@!6ieR0*VPAEgz2i6{~GAg*ZqQA0v7YG~8^J%vTC&($KmES{#4KvKAP0UA* z*J%aS%~PkAqTBS%m!_QTcpHi|W&6J1VsoW5xks&?G#5W7b+{_V zu7<)EP<-q6L0Wu^O_V2-ntm0^4maxZ&TmkwAj! zGiE^gtv%C(cN-`TvT&F)*0}y*r_~-PnsieI|1rNmH-w}2!OJF~6J?SN?P7D}&jz1O zq4(D3m9H^m1^Tw1PXHA~ynE9j&}g!PYsu-IbrH+zo4W;z_rFVc{r+*)&6gnm9v3_c z{A8l(!h&3`MIZG1iA%RZiqah)a=4VLr zP)W_n-$TGlR-h6Y*U%^Kq}b8xv}5UZJh$QkAg?p-aJ|}e2xHUw%*i+{|Mr~77;%(^ z_#WI9YrLi#g6do;NrfJ6#%^w8PZ=TPyGS6Ynb5w0B^~u;4%1Nd9cVL=q_o})beu=Q z10}EiGqBV6Ufj>V?gFS|J8Y60qLO&@TWQ%rS?_n%?=rsT7&4jty5tTuRT+Uh+wCMT5lI8ELOQT zUhDS}+Y-x_Z$&m=pyb)pMF8NZ|zz~ z$Bq4jE9=4nERSU!eE83JdLgH^psN2d9-TMvudf$+1QizT{;F`fVD+OsNVS8T&FFK@ zyZ>nk-YQhNeZjk>X#GvF0y_$wjhR>BF@C{$SKah<0Z}jGzTYB@X8Zx9o1T_Q7nMlC!2Rw#(NV5-bS{P>0J6A$^`e6%Or6U^imknth+N>?fjl zP#us(vDYYaChcAU6T9g}-vy3_CLu(L$!vpQcyou?C9AtBDmq0bSQr5t% z@0{@zH*L0nM~t{CUhz+YTI2gpL?^6jm$g7bb)t*$J~0UD732qQCjXGUyI43#Tqs07 zk`>$3xNsFwu7t71`x^cn%$&!;pJr#;W6r4duRwZ%j@AXI*-Cme(HL6fFZS^Ye%w0QfHK)G z<)N9BS-m$l7MK6pJP>S^<>&-+k%-Oj{7*6{stzK+66+h;zHnCZ?(>=Rf9?^LgHjAW zsBg3(5A|d*=Fvp7Mg%S%M8hd{D+e*FSC?plsX}@@%=MTroPxmyvyv|OShO2uOj9Kh zTU1Dumt^4(P912n)D`{fNf|*@ZBloRLCTSHOpu!B{v?mfA2mvLb-K*(Wbp`OkP5;_ zowkTD2xW+pGW46sVM$=J&Go%VSE2q6!6ajbi47lK=qj04Uvd9OUVN5BA$cOIno_G_ zLVfxmd%aPq8(er71}dbs6+jl01bPSosf{Uz$GC)NiblE8<}hoEJ(D0cnze!6hgjny zb;$Mz2HB^l{K=s~cfJNHgqGupHE zB&0K5oZi1tRb0O$mwjfO=*SY|rtbUWqST9B9!z~DJM`9X*7(x9#P>O0ua6y}mB5zs3JJGlI~*#3VbY6>Gz#^p3ZoSV#OpM0)$o7e`qEqE-SV4&BxjWSDp;_3H^SQ^*7oNz zWW)^o%(*b9dDRs3Lm}f@w6iq>EYX zf+)%gYBe>?$&q9p9W5hcy3L%(AB_*Qv;WC;d9}<*GC?+`T|E$*7;JPwt7v5l{jH~k ztKI}e0R0&j{o+;H5_CYCQ5$-SHP!t=UlP;%RpEHiPZc4qF30>|X<&rt3^limW$Rtf z-5PCqtq2+jS5!Z>_rw=Vq?><8J-^%`6;8}zHz^!cXnC0ut_b+RPxnVTp3q%0d83p# z&$}XqAcHrdPhTdYgXHr23<@*g&jZC5tOs{q>5JOTJkClBMpCUh;IvI>FYNN(k5^bq z0BzPs8yDY~e%MvaX;+Xs_*G`%&fmDO=X{Bv!Ub!(hI-Na(mRtAnTXw3L z@f~VIu$eB{eO07vi)J@+u*$ukwQ)H4fGVZ-JBeV}XNSC0erE-6c8I`8W*>-}#wrs# zQ^_%607TvGm4R*CK7x{M-F0J-{TpEKz%gay$HA0Fd5T6y<@f{rmi!3}Y@1*hHCg|S?J z5l^xvG3+CvECZLB9soF)C&b_?1HESAp?y15vS+XhUYuEIUN6I~-+r-`XJ;BAnx^{@w1w=o zYtbfL=^>Sk*%Fda9Ptgs&|uVA1sHy6t0-_+!d+P--ML*Jy{?mUbgvpA>~OK%jNCD@ zBrCVtq4`3M*-;mza1}>*$RE**r|5z9oEg7TKB-SCM2$p^6J(S&*A? zFp`srQ&*OxN}$h+t52X32Ux+KlbN3E;WXGdjiFFwT}hgaKF~0`iv4gI8>qJ%8-Rme zaRrY)q_>Se2(0e;w)gJ)w$q7U4xN8m#SzezQ}tfoFB_!mh)|HWuKq^p4qoo@r<14I zLd7!^RY${ZQ`g<0&M<75ZAqL|i%Yi-)CS{RpiYEPJ){dZDnS`KX@8 zEes(aJE>B#^hj*YT7>kuSTIuk&RC56Qx>)!8FbckzaGZqxrO*Bqy9?vH6$}3or+@V!N9-PJNLwLNHHKaPx%+#(V_GN+JQMP@fws*DrY9IQ6fEbf{ zVR3Q52Io%;t-bq26m60Aur+5<%j5ENUy>42eHje$J)2>bY`u(imkqa&9T8^ho zRgP!0TaJbbAO{cz10i>gYS(NZFMnQtSho7m3f_p7U!pgeOqDLq!I6GHdoj|!Ue!Fs zSlT^sUO&8Uk=fQAkoJwe;52`Rq0s<=&&=DrEY^qJH1#`$MNeRKy~*BZN(Ici(RC zmTYv>HoGe>W6Eu0qZ}m~-$I-Q30-VqQblzMdta4mlrR0Lv>Bd^zr4JhD1Z#vFfc@f zSHg0r16cpUnroo+4kC_ld}W}VA0vnP;V>?JT2*dkrCWQ+|MjD7)F9KTT)l;)-{+(S zb8>d}G}PMOL_WyRhTVAPKYvxF-I?@dn3vf&zqhd!m>cI%PYH};{}mip$&dsCoi|k{ zS%0$;c?H7IJP=fM0^wr0HQ@X`+vs26cqp8=uNNpCN!l%mj&q(~V z-8r6~;(6baW1H37LPy)m^YZ-UJiT1+%gG=D&P;Z6*l|`JFD@ z9p$?p{#xyNo9R`1wqzKV8Z=Jw=n)V7PlvZDh|*1O|CLXwGLa{qz~E}zN=ewu*r?la@Vd{yr?A#l(RBiN5bU>Om;T9Mt2|w~VD;sOo+sA5?dcwR zc>Z5GZ1K*s3)@%eYb~fYJ&o}UcJ|7i=TBSRbvWY%kGo^&V7rs&ivQ-eC9c$2Yf7O) z#u6q_hP^7;XP9uEs8%Tn6TJTb(>;x2$-s+974TOx@v0Ovz0fN7Mx_z4qyUM89z$D*QM$$(AOCl5V5#3txmfT3*2#2IQRbV%F*krAh zE8-A^#Tj98TKi?)HZ44B4FuC$g-^4vF|?_;@AU|&Zv zJNReNopRXr?OLxBLw?}W-mq0_CA9068wvnHAk3$PnAPwKy4n}3)Bu&QT0riJ*2{sfXroC&e#@MN|^o;s#C~a`;tov z9XS7)+D)0teE_RxibW1(sf56!`G}(77&y1;DmHgVmMLu(e{#AE7{0Z~HNVF)Plijr z9hU2o>Fs%ql)!Z^lANQvnRJvK1yFBJYbF(5gcXYT{fi>tIh318=%ce=>ZgNaco~(0 zHMJ3|4OZ$Jb9ew~IOa!GRNwwf0SstyKLcp!lK3Fzjj)C$JOgWJ3$8NNE4s}H&-TT- zvTyE}xgb9~@x;0$qOY8Sfc$!J5;z98h zzD0ELa!PasRDqgp6fNgJ(bad*H6x=!1Pl+gmQwJ%MYi&dsY??bIureJ#pCa~w3p64 zA={KUmrWVc3LVLp1inP#;A*Sq8*!CGv1#NbV-p4U-dXKsVXF$t+1DuW?fXW3H$H42mab8#o-RqJ}gf{(gJq_b6)Sy%qgYGM*b`0k@sHz^Ed{_mn^b zeF-lbFAGd@IygZgNPqceTu04Uj!ua-e-f5rd;438ryQtKqKhe6p;Cw>81QyYUNA1+17jgnJ!y6F3 z=%RLrCkg8on6shlREU@Xjx@J^!ai3=zZ}6@h>PaSD2J=M&K{YR=>6gcXF+}Z4o++y zrAG-&2N4G?nN7qO)iUXB3o{joqk8kKU-I z!8mP9hWrE#N>Y1}R*xH_El-q8VAAeqYRmB!F|4E(u~_zk*h+M)gWT*(S&c6djdwk3 z!iggR9SS(CwBq@d+&fPzTno9373?9by+pO^0rdY;3TcsEqi?ej|5mVX|GUZ#|C^qj z?N&c_BlWDhy5qJqy9SYi`Y>)EG-Z!`{O(aJlVr9wSghybTBhERB$#P)pd9P$s8xJGp>C`%YTABVlCOWY*rycY~cd&y!aRapcvr#U&BY5=Z8}E>kL!f?< zNAta=LyFXOpHaxSyTvyIJ)vpmz94339LVcfh#RuiQO8Z`HXJI@b3Jjo z&5aQ=E^zmuHJhsKU~Ei^C)U7Cn|6WYvMfmQM;0C0X-8LqCNu=LZ`MROMQdaONFO?& z5Lt2es#Yl!zP0wmZ9LaEa|C!ZV5pM(mtZ#H4**$+s}a;4^(ut8-hM*Qd`b2m@n;!A z6T`b%R7rW<{Q2{;P)}DYAGd(oJj(mYI#JIz;qFCFfK3r4KTfz33i<;<%*-hA%EV+O3U`VR!$iz^jSOu^yK>I#)xK26sYznjqj8~w9(C{We481e6+S~` z%ndiky9At|i->)~yP#cJS_`>Su1IVUqmM@7Dp^CL=`@r3I&|=HVQscJ+ip@l0i#CQ zeQA$p7LY)_HN4}EkERx(2yH=eKp@8I7}SS1SQH#O<1uB3W!B5ho7xeOF4D}kEUBOF zz`k8Oq|$sE($^G2!?8#UiiA~gWi}ae4B`mk(&Pf&2P6v2I-qs9B0LiQkQ2KqX}A?2 zG`)Hwo0H3DFj);b?Op>Z9>b-K0@Jbq8co@Y%T3FR`O=saJ4X*hTD?FyEHBKa(w)+ba?2yiE>!2>&(eVXW~!%rsfUyQ2l6)^DxfN`U2471JNTg*ONwBCYQI^oJrdPn7BI>D*iX>gI}*rE?^k@Ic7 zhD&-!8lM&!8tH$UegU6^KO~kMAO%>yx4aBpjpWH-NwN(H_^1^+kF7-|XU#f*JW!p- z=+!{i%O8dGl1K>M2KY0o@TOoX#%I^l72pRB7}z^`L;AqOkvl)pduTgm35mCb=S`X+ zg#^1Y(H&brLc<(s9$L>Z3UouuuMF{Q3!3L2p?2BnkF%;-y$CiwFl&7N1 zQi=Nz-1%7BuTMal9fo%o^}8JuDrD<_52rCu5F*2UajMI(H&HXie;>hNO4 zwb{Bd_k(t|uPExDZF0Q+NU^0EjdG8|6iQx#_|t`Z++0w%D&Zv;U~z{{YZe9>j=QzJ zI^sVWDJg11itaFZc~OmmUfMV+O8I^$>Q82|LV3YL2+K?rsZ?wUwEfJ&loyW~$M@eS zmh_ioGz;)(5|}i60=Glk!->rX{Gd(sn*@lK+**KYFh|KA;C(S*JERUBdll; zW451KIKZnVX!sE}gAgWolN)SFt@sx_?AyXzEXZb=k!epztB_dl^fl1*&NFP+A{-@S z-H(>L!4VO*!tX(DanV57B}77if$5db33E&wms)XYbNJio>il_lkyR$?4W;r6t+T1G zJo*EaNs$9DgSfmuN=Ju-t%boay!==N553737bAH}TH$)$>a|fw+5JsLUH%PVp2ZtR zQIwE44Fx|>B#qsh8GN{*J65>m$5lwlEd;Dya=$j;a5{@9Yv0W38PnZMEfpK2V?jMk z*6fzn&6eu`6*5RMpRukgG>Kzx_Cn_1qh0 z%x6x4akINh4!8CAKML8BFj79V*|KkbSUD&Fr=ivt_x0$j4Bm)gNT-mY;NkRzS}Gh- zk(!Yo`yYNmETh6}0pEnkmw!bNYJgk)b~6|hw~ZGe606wvBJcsXQ2_PO`E$_Jb~7UB zfHT_E+;$?cPH0ddR#DZLB5mWdV2Dv)1KtJD=o4n~g<2*edIJ!wKz-Rk4yl(yIV^n! z%xlDweexR5yr$o(J*E{n_YPP5HgNER*}-6nt8b#QUNCPv zA_ub$MM=Kys7S0=>v2as8G8$|6}qiBgjB_YZ;-@a&@Z-J9a%VdW>FnBB5hkjm(!lZ4o3yZ$BnK@y$#KN(mNMx%BQW4 z>gq?znjfdnK9Htot)Mxg=DZFaYu%DC$SYS9HA=TB#SJ-U0d4-y@)BrSU&|hRmMz)3 zu6KAasg|9nI|-5Jyd8IBX-N7NV`WJ_vett3>5o{#Jup?k*uW=TIsKo;EmXsxBtEjoB`k{2q?3;9y%IlNhMPdHU;f3m-{O}Qf z#LDGGZ*^rX7Ay&MPr3aQ$GT})HzQ3teG|C#784}zJ|-WnV*(AQ+v1TUJ-ziU{y=@#)6{rAHFJLtN+4 zwjKnAoqHQ^ei}dN1Fm*_!cJPM3R5tjm)5PS$E#boiFZEnmKXF86=eoqY@5Y809>*b z6ONoMgqVp?9EBY8`YeRl4B`j90Znj7Ixky^b&3-<)KR=3i+aHDMc# za57T=Bqq7U$qMPfyNCc5rq3gdg@3Nb$RgDx9B_YXom&UHy!7{G4Bn7qSeA@9vQZZu zqixXNy~M7DG+OyJiOH{Tdd_X@_rPfG_pPO?W&!pMs6MH7A#57kGJOiL1zOe#T?M2I z?9l7u-Qe%xMCyIwm3IlwCxV5iK2<|m@}vVNGDBQG-(drcUXKw&=O$RItJ4O>F0vL4 zoTNnh-SQnCxz&HU9iC?a7k;3>0wE9T#lSB)xLO50v#B<{T{ekoy}s?sthY0ten_P(b~n+0&$jgv;Bc1*#eBP!dkVr=Ins(wVu0F%+Tt2maKlk5>p!=E z&rC5D-#El|qUj;yKs2pIG1z8c%dgZbrag&J8j#&I9Xi^g4NWo8tw>bCe|`bCvdM7? zW$O)dt1(S=KjA{uo=<{-HZUHIN!;?75$5EN*kq`L*tr1N+7#8kSS8Ox|;aDaQU6dfU=hMtUn29D1P-P+Hp zhF)8RnZ?*T7*2m(r~djqrT-Zg#DoG^BDFHd`n%gNv(v~-?>PY4icZ0B5ziMyc$mgs z?{xxAR{FgN!{=VXL5GNdB-YF*N7DMkGaCCD;pE1a^OBDfny@d>-mNn%O4{vLslTrO(d8UZG-8md^1PZ6EuzC=GgPg~ zHS~I=C4A42pChfqAqVKQ`nlbs=q{w8743ZT(Px_;VfEM|p3J?iO8hF*9si?nr=x2L znrVx)$^16fclKCe0zPt2CgodUf<}Qe)rH+(q#N-j+#7M~^lvm2_c+R%-tw}MkI?Q< zHd%L_s5is3-(yO&q~zksrR1*elD%92`K3zAD1UGafs6vk2HY;Z)ip# z36)y(5DTCuKSLa$@X8d!qX5RgjhjLph4Y*{0bc@g5RKKy9L5eb^53a1S#Z2?|nhy3e5OR7W3iky?My=Q8{T z?RrSH6#j;1ig!-PyWp(<8AK_$fw!S%6fK)G!O#Ou%9TDYn~tP}8`*G=0{$+D4c{ih zV77KIPAq?d%dQc9xj?WHJx|6ptx4}DHJtTcV<)c!*sLjf;yb!@8>xLp%wpYrOfA zgl$3unyzX{_}K-?=%EJKIXnR3*-me2_&$@(oh3Wt_^`E<2^2!qp^RMlREdx{uS9L5 z0EzPeNA)Rf(qCENjAaot^9P(SUL#3NFxKUeD>%`y&QzdBd#m#Ze!*}4UE#TIRCXV$ zhbSJbCLlKkab*NO+IS7eYEer!CEBOD`UZU&*7MuOC*Bl>r4N804t-s0Mq@gYwn=i4 zFZ|xpcu$ffuyeexT9P`w6_6Jp?N=!2kThZz?(|d@WO-xsJf_gu*O5Nr#^($9t8!5Y z#rnPno*3p^|DE9D0E>iTH|wUIt4z$;QpT0`91Y4ZH$vwiVg8#W9a$(Vy8B$2bzp8? zQ$*nu)b|_@(tvJ&wpb+>WH(Qj+G+VdD@58;^hb9U3gYt3FI~+8g=P=YiqCCSMERn& z`I6p``(5?WT+QG2; z7DwGZ9>le9cjSK1prhW_J}LW`zdw`{7_I4c9gFNcMatjZHsrrKyc!+yFBSxbG~HOg zJIdgNTZaL16(fY2(7v`RXhOLPMyJ96c|9p)u~RHj;ptJ{kT9iPOS0$)=)*qJ4 z`t(?$cnX8_vm}j}NIqTdo@<~bPn2jdUrla^=-rKT`pNNjGs{u4RuBA(eUUHjEXm}V z*uh#0>ecxfULRyI&dPT@-sgFky!lVW34z;X=twYgsKAQl#W8f$2^M2SJKS#@GFQ+7f(nDDO zu?}RaDk!B7vkFSHc4|k~2yn64u7nZWgnT`6$FMZ$vJ9>?LXs15vm0&tU6n zXvm@=zjH&SgWox5s6!Ele^XR%y-T_G#Aj8ax4>Zlvt@s*`8<1a#vObM9R9~aHJ&d4#TAh%Cy_u+LN`VM1L=@CXprWiASwiiVBE`D&a zfw8G}vOB$!Z7m`qeoe5oBIjBMZ?Zd#5zRw{>^NSq`B-<+e`IQr5R6P+|Bp;nULYj7 z?X`y!LSwwL@#UcCZ|itbhPx;b>Xnmm?7i(+a)Zs}gm-8f|D!!V`Z$Q zdvBN?tiA1Hf)0Dg@~tPH^oj62P-%p!Rx`PWq4WQu>Z_yLdY*W3cPlP|;GsaFxJ&RB zcXudK+=@dJpg;lyDNx+qwNM&b+`UMl6o=x)3NPQ^d;h$1_H$-;XZPl2_1>AiGqo5) z*qybEM82&bYfv&%DK=65e*yo=OxuXMe&Oz0&S-p}pr2Ro=FJJHRpdPn%uvn6f<2?7 zOG3R0j}RXoshHa4HHeWHLD=18)7P;|A*Su9`O-;{wv_LmW+W+vRSNaLE-JB`SQir- zJMr-0%bU+eHmV#ltvZaYPlRYC7=>J;o}_%Qi?m$xdbu+!oS$nXWEd&NON4I3HcnVM z2rVrCpgB*2?5_GgDa`3f+kH4rFhy32m zan5<~p3hVN2YJ*U(Ke&dwZL>7#8p;F{A^qSqevj{BNh4sCtIN$o_ORlSn3^2l$TLB zq-D6rF3US-OC=#)DW_!XSAga=BxGoDUaQ6kfF1ojL<74!U-(O1`D()fIq%mhaF&IU z%)n9G0XfcBH=vXBRgd~v6?iF8Oy*s~5p{acF0$87OfUV#Ur| zW0@*kCk8cey_4jKs}3h8FLj&5hqzy7|h9xf^~8fBTnE#e=LWpzEd zt^OjcEJx;gJh4$QFS1A)i%-D-3Ckz9=Ccmbb3Rp6JuV~3N|%a|mNqP6VeR&m$D?4` zQ&wdn3uU${hu=q&XvQ6S-S|&jL>0LB#^4UzVIF(_X5fzy%`iGaqSZ0Qf6{hzEZ?4d zuWyMjwN~18gWFST{u24;tzeLxy-dUTF4vey-1P+=4biLHWxmn3jD$Nd^IuFmWnH_e z9V?6!udI6N@4rkV5Qf zdwOQ4FJV)v37nbEABiTd7ZBo|804sOKz_C{vwq=>vEnJJ_Fi>Kr}U&oPu4E>5HV6R z0*{@sC+s%x$1hy#QKk+sheld`J{?Ax&rLhVm4k1*|CP zme|4*3N>;=(rB}}Lk%zjN{WVnlMK?3!*-VtFbD?GvyyT7U=l4@WzlZ%HXD-+{N4rAc{xoN1 zwLX{cDw8%D;2}k9r{ijF@sVyH*85g1vTm+#yrab75<;uf9ME?G3-2{@^rrU?zUbrAHthjj{N0Pn_AnlzU+g)P|I3^+|S0gMgo9{`y*Rie0O5gGwtkWi~0 zbCf%h!mx0JW&qtBU|S`bG^b*IseEafYcvAJ@Lsc{s^ZthN3~4l0Y%#04vk~tis+1P zPl`q7g@8-PC$TJ?*ZnD{_kVa)2>LnVQ7ZA4l@xK{fGqsopTH3<{sB3F%{%-=BNl~c zU7dr5D`$|BPG*VFhy&;Z(2$>5{DYwiSkruI#o8RYU)OHR`*P=YslVbR%7o|mP&ZX3JWQI)DnWs)LrLS0 zDaz zZxTp%PFCmeh7v2wK3%X zb<#TH^m%E2+GYP=8Hm&ZDlydYUjj!Dod|FSa@H3xeT|Licv$onQMRCp&VD5Ac-S8-2PsIpB~a9d=I5t)|2@u)1HD!S2F z1|A0YZ%5jF{KqrKmIoV%l#fNeiIq(RWVY~VElW3ArR}d4lGsG%Y-Pctd>s_yaQpDX!gcB>jS$zhg*_2 z_w0TNJuwLR_o!uJc+Y{6;}bH`opq>L|Ief355;ka=I7D571USEJ60^HsU^(O1-)de zE>cO8bg(n<8Cq9Z2fO`=Zfw24VWC^Un>`FI?Ev59qwH9YVfd}SXDCYw8VR%(J)miK z`A$LnVv!v--=^GyCgJlhldKXAr!pYq+H1doY=xbMsTKHP#7Zw#^$lBe4Uhv(s>pI1 zPJ}LqcW4edr~tMI{6_fALV&I%BM5KIH|h@62ZDO4u_44;=y~=(*R$+@)(L$_c@{{H z;`2$4l0d=ro)I%`K>~;Kz*=ZZbOzY(KV^KzD_}MPHu%{!h-DC50%tZ~(D#QL1zbSV zD=gWWkXPn&^mUqA+$|uS^6wOxM%Og&1213zQ}D?$O+3i}9%&jIPSThJj#iokPRTG? zOxjtDLHw8yciDtcg@*Ap%(IH$_4x3G!=DlEv9(}_U4?T0w^WOv;aTf_gNmNyS5JtU z2l#re=r+xB%{z|ig>OJ=TQhTEsc`(|o!Hh0cVhDi->P# z(9Z&U5cm2w(->C5e}ixT&Be;ky3 zLTCBVRsVHVcW?0j{r2G0>7L}ZuV$woD$oug?mWND-l!bc_WKC7v^BEsa}7PxYidIdi?RO6OYIw}e759Y z50$>cF#jV8E4Q-Y`QJDcol$7&`#ncE+|`^}ZZ%XR6&idHr{!wMq?$9$76LFUtCAVc zU@NjZj)^U1q;+Cj6`xcL8WAVd+%d%q9BU@~mgUTrE;OmAwk=KQ3A^C$=$dT)xg|Ln z9V0s#&F=kO((1PXKM8@wdsFYIX?L>X_pI%)@7+*a6-}~Mv(4E{!X2+>o9mZ^WnRq% zk1q+`P~`35GY7PL5&eqy(yr}{^1kPr;eko7E%GZ`R8~X0{qx)EUm2v3T{?aQDI+dQfj3 z{f+48zkMjG#cz^@^i`G2MzRa#ECUh?O6s!lTWlJK|5O9**_gGMn$Kf^a{Ty4vfAG> zO)^wV{&_#%r-;g>#sKbEvk8VgQY(HzMbhn25y!DUMegKZCW0vsBK~&%au3da?)fPU z{69|8-nkOoi0v6$H8xePM96RUu$1}dNV1|$EiTFxtyKSGe{3al2D0GsS?%PguAiGQcz~zxfY=g{6p0fRphftza_)NyT_{PKZE^8=@3aKCxe9T) zA$?Yczdv73F^!_U`9Y zejRCY?qSUTzn1y>38^^_F~-;(Fve6}O5n_w`4Uyhe5b95CGUq-G?>Au-)d4ofpfx( zpaJh)xZCP?%*7}lO+sVo|6ICWto(r{^6H$kV`7-7E0|m?X2fCa;K^L3v zO3MsDjT%==6yA+iaO^@WyNsri?$wipfgBcH4`SxZ$Pj*f+hGJvV4;Bep~mu7rDq?K zZNZ$DE{vmuqOG(QyA3Jr@i&reOop{)mzgZR6PL>71YPB32D6a|N7EM zf7MRw@_A7H5QJ0aCDag<808peEFjd^*G4x@Vnbn+b;zE2&3-)jd&D|D&or44Or%_o z1a&YD{_TToRv9&haL&X(VM!Cil5CpvDL(3nF>NH&`y7(Oj6%~+b5j#JkY5q%uq8} z8QY_k3*wvtfn@G0kwsiXkm6%W4)peJ)3GkjzQips3(Q*Gx~LuQMS0zCqN%*U=+(-+ ziBXnv=^GT52ryX!wHehbE)EQri}6`vwV$0TED@oz#Ex^L zN&}_vG({k9f6*hg-V(FwE63CZDeAR!;8ZSH#E3krQ&no_oB%oG+>blJ`P#qG$cNPWa1S2(07~sE!?^*HugyD`EC+p1c?;lQ ztmFRQ14+HzP2+p97A1Pty^AXPl+V;z`ZJGQgbs|H@mYQly~xF9!64=^-zV$#K0DynJ}I{PHxq11M$9ZD{YKl*pFMm|s$zTMh)Utt?+U9ZS9SqCs(jPud&0E%48x{tdNk$+l{3Y4dXY2GF0_ zZXX(NV%W$DIq((*I1fse&(HX#C7k{xo)8IZnV7JmQ2hJKpd<3+Qw1LSh8(%zDTorn z9>ujG7j?OF&-EG}_JlPOck(GHt${^3{?8|Q)zg2J@>nRgASx2Y4!u-dE#bG%3pF%U zxx`{aR7t2xxBBhon@Mz(FKn&qyYp@BQ*2eXa{Qg+O%HXW8<7#sdi$z%tfM@KcU3=5 zlIqfqQp{x7UB5Q9oA_$;!{}d_j;IB8uYc97aFKTY zDCpZ4US?P}C|jbUt+)e_O_Lz)L}?k6SDA|fPo;9)+8)^gaG!Dutfr4h9wn}9uAS?C zis@bmOK+2elj6~dJorc7DJL>d@5@|KKLB{P>i(;~jqslDjQ)>M_eMwP*f7-I5rlVp zYX)7v57NNf*h3$qN`TGnFvz4HhZW;i<#}E9LoRxmf;oWnVa4D|&W%0Yl`mo;>FTo| zn7t_EAOU9|m`j~dEGXUbUSl@Amq|R0{x_<+466D}y4NLI*^+7su)95GZX(;Iz{*kr z{nD~`tE8L1a82d+oTbRCHI@50OFx}8m5Vt`0~C3fvUE6~vMkv};U5ZDDE$6^aa5iI z-0#`ecWowFmu<&3IljkFo2K1)X{e^*SJh}-1c?Vs2dpBuMNdOaL2E-c?+ zJsmEVnL$nhey~pqgu*JnMNm*W7)h5{HXQ+SB&Zx z(BMvBVgRRYfVes1g#;xJ`+?v0&Ibyul}u(zMS=CI$haR=Koy1$cjkk;PoaqZp@MKw=471vz-69@B0$Jv97l~ zoI|LRC8EcF>TthhepPuk^1TDvi@sNRJ2GyM)(%_RiYf!L&M}DRm0(P@S{&C3Fea51 zy~#uxkCRpQU3QB!eZgI6REjjc{8}V1!|)yK^|Rn{W2hhXupe~%{hJoLOO=+|T7(O& zH@Pd%tW4|Ut$8%L=!^Tj$}7L>+9K!Z>$a}qVYQ{MDYG)M%8Gsp{}vm+Bn`eXieAH=2LxzDRpS*&CX0l1;p+5r05$Du5`;g+eON_Mkw zR``z5Cznp@=xnMNz3Q&m%rnJ~*AlH0bMP9Gr;L5n3a&0TAe)eY_=8VIbJJmC)LgEL zoYOX|y36AUG*6}me6ON#)e+R69M@}Y_q3cGpQ(Dj#;;4r=PaokFW*h8e752S?S3cRdTw0ibOH#c{KBU{ zTOOi$|E|3>r3AThKzaP`5U4V8$$9(v3PTLlE6(FoeP7DWBRKWEwoD=>l)Ibl)RPgG z$g=N;zjN7t0oG3z4g>C3hzHh1>CFIuq&M$DU`7a}7k^@#7If^50VzeiD9Ybd7mmwr z5!J;-s8d-gT4V{xQ8Ig|cFOSpRzFc0p1dLu@aLv0+k^Yts(%_5TAAC#IvD(?{$b~_ zq^Lu#vJ&|Th>SCc-Fk9L!2F^m!Y#4*r8A(Q_@=KNse=?cdeig%^z&DI?~wPo>MQ$k z@bHjA4xQ)eNL2JDDU31~AKGR( z2z7+(KWEGKw0tp8JdQPM<3+e$wM z;5BlBa;d=(i?N3YvR-=n21ihC>L}^m%8IsqQA3CrYUAZ%`fwO(yakGKAE^l$oNJg? z{DcL`wdM$uYj2^B)OSMSJ_7?y>k*yOQLaJqTtY#vM6ev(%I#F9L{Kr!4|74|#|_vW zXY{sqJeP@^^UXw@g#O;C2hj(-p;H-RYUa;hVvc@&4}|@8>m_l$wd%gqCq2wd_RF&j zQC(3k>$%GD3c}#ZK3{MjRln{uHrhM`UEYPjPk~+sjoThi!pGJxbfXHG3(5W>d{|Rx zv0;x#D|d;qc(V;)uk7o+FKtKDG4@Nv?OLIiHs;rR3MSWkRw(3uKAN_CC-LvxawgMP z=sEwcokG^cprn^Et?znthCO+jgx41V{q^Lz-1eU~qD5deL%S@>K>QI4CzExj-m((DNQG;S6`cPjlJAQ(h zg-t|B?dD!d}hXe82RGkwzsi?{p}W_L*dZwRm7u`n4Psb^qmOAk0#fY zht~CmE3)nfe!*b?#$FpL>9rfyU17|#NX-|R$sQHXl+(Lw% z4>AB51K<+wx!Teh{*){Za^i@uj?^??-z|-22}v^$rsus6%96^TP#8^be_;-MrTEz) z-LyQZP3x6*n?rhJeUg(?71MN>we|?eo#J?2vYLYuN7sh-18CO8VD7Jr1H~pg9nl4! zClQRD+9HnTF;rDp@>7wz^r5E6+BP19HUv0?d{BeqxtVa8*_m>gEi~x7$zFgWOi*a4 zp(GZmrIeac=gu@WJfRlDF5aTA^%T?kTt#i|p@hd!%;L>^RAm?UZ|HjafEqL9&w0*ZQ_ zSpDY<6Y)o5UBdT}u-Qc@q)5T!rKIkH<`b6>#zhcuMxGh>9_h zZRLC4E?E&0R>q|x1NM+zLy{~V>{cEx!^(U!~buA-s}wK`--6odZJm<>G!mUQ8l z|KJa0@;g47kqg`~zW3rmp1)}sY}S;t8=DY#*E(^<`QyJ?W{Im`9b1>@DJeK-E_wDE zWjXtcAatp zU2;02`Haqh;h$l5b*ho#x-33OUBicd)qPrwQPr}IlC|be2<3wDQ&}kZzWA8a^(;c+E zTJr@%M9f{#Wn!G3h|Rt^zI{q=FbHL{EGp}xXcl|C6EW(a!xaK`FHn^d_E&2Z=w!v* zUkBuW6zwTJ{Mj84z(yLL;-N1{DQg!UsEJcf1jtcF6Af0btr=`ty}}!qoOmK3eo>}o?CZtfN}|eMSJieKxgneT4aG&2;_>(w>MVJ(-%FnYHFw@Uh zD+=*^i{oo7R;Udoh)EIz#oV}mcj_vseE2{wUt?d4lWPBF4vm+AK{T@Sn<}vl-ImMV z5QBx0i2${98CI@r85TgYTp7bxBRyCLYBs^6yO&Eb4kQ@|`V^bkeok+kxcd?zR=g?{1da2=@VLKC2zOsp3H|BU`t%Uz`axej>0R!?-!GLsg!12wL|%_d$UEj^ruQ=z zKqkBa{Y3i2YL&;I*$O{`pnI$-<_-XgIbBe+-xxzCc+O>yxA3Ng_M zW(ldkZL)BKdk7+5@V{E7FvZ?Z_=dlY*IfFjMoqmwPsLZWr~%rgJ*lV4m6UFx$0q1g zaBBc>iGdE(>YlFpyU;$e1xH@x9eKf?@+q2&EL<^!zG8#SP(#27=KgO10W~5Buby80 ze&=CR#8KH#J*xGE{m8*b-3WOtvtiSVrCY%kQu)N&-);A#vgr+|-%|%#I`A<~W|e=o$uIw$T3WEd>Z?Dn$`*A<&eeLqBfM{&lwzW?`^7rI@3=QNINW!tv+C!YtURg1O|xVy{@5F~}{O08v1` zksb#X&~6R4-$cR=#R!ALx^da>o_Z}OgrX8H8Ox0s#h0NkMNc?!5omBN+1Kerz5Qi^ z5k3PP6?}GPao|*WtLZ(Ww9DAo0z=wwQ|##$`Nmjm6<~4Xzl0q0VCI|{H3k6Xo?ryq zK8sDzg!}7#o(@)?t;Z;>xKnH$wi(PLz&WKo`XK*`>#}^V{;7q=5Nf0=+@PUd5T^43 zShx!a4nJSn!?#QVB!Fjy=M*N82h;haFJq8ZF$^XDM2t8W6GK#=fJR>H=- zYpDKfyi+H`!Q}o5(eCPYY{;h;B9`z8C!MZ~pod_r!JSUNn&6X!$@ay)e6RXxp$)5I z|IfXuS7D(aau(?~Qken@cH@j%CJ1ZyMjL;i&-1f{E1lyuJ|c#a&7wyz+70*s2p5S$ z^Ce!p6c-HIaB$kp>!s5lAGG7Z7D*I2InFBIy z%4W+HG0MelOH%Ga)9q@%|7eI>R0ykUx*$ii$;fTN!mU^2&6jzi(GEX2v|u~%65}^Q zu4V$dy024Wsf!RUxS@-`ieM8g>Uixz4uf1dtxGg*`f_v*D=^N^Lwrdqy`XhhS5?!V zVwFUYQ0X1arotEMm!AwUC~SD5%DBtSg8r%mSG2HOUYZom*mx-zi?Of`uWa4Z#VNK7 zrH~m5wag$~)WIHa^&42G<&iMYCd5#ecso|jka20+jk#9yv_NyLI0AVvK~pwmuU>cZ zrZRo~1Du}gUoei|r)@QeG`Uh!^pKPsdr z)TSJl{_G?wkJ%;K!9zl3Dk-qk${0mk0!g1?z}hYd)73O6wQTe2fJkq>ll?wAD*)fz5uZ*@cZ$thoe(SNe1J&u z4YYR{ck>Hr`!ez*NEhLe--6)eZH{1byGpvNkpgo!{JGUzDKYh9*-4h(S`B9Wpf+DZ zvfm~}MzAK8F{N#CSSo1HV3bkC42e*ai~>yq04W_DN9=yPDq}_cV7;%6*iNwmx@64e zBAERYk?Yc1RSm$lvOgTuk3jsl{QF5I9_VT*^X}^K-obuP0bR|8cny91q_DtU0F~hi z`vS8+M@CLcSc+oQf~;EK4uihIjk$rDsfQFjAiT~d%v!FflJ@~*#KUaMXC|`RIoM4t zhtX5q$cj4frNQ~HK7}d^37>iM3t^;o2d5F80~?@WvY28v0b@~CE`|#ri5(Xp|4jFm zWKA6J#S(3bLJtXpgcgyxDW>h(;-MehSq^KC$xc--Q&LWVy@SO2gL4QR(c-IFkg!3eBk?QwRc+^OD6AZiGAfC$7RJNE%iY(CLBHAsXfnEX!# zc#Lorum&-)!%?*6d!T6qs^JPA5nu@r+(WJmd8<(!GuRlH9V;NjA=BpYEE1S}wDWG# zZ^M~M9T?$o{3^wOsuOu_bzxre%RB&F+Pluwx~A(|9SA=2yCh#@k_jCL?gehN)Hg}- zdQ>Z!=O@b!1cLno4OTiOLy#XHhg!f-F++XIr?Ytnfr0X306X>GZp<8AB(ubt#4eTQ z%?bKIy&W7|pmIJBM86>_*vFRbLHfV<;-Vb zK;T|g3kZ3l(;3xU+R!~)<>2AEA>)#0Oe$PIu(alSmAsfhBMt8oEL494a#igU-UX24t${9iLc0JcLNkN4Nr#STSsVB(UgG z*G^Oo*l+wn@h8${)B0PVc_FBFXn>)3%7Of#|8*@*v`}Xv>j`%1jij!?DdZFQh z5Ken8^y&|=fL1(AXDyE0cfnApG=k#aNyXZ4`VVx^DkTot%V%uk)63NE1gkY@)!(Q; zJ4gwe3=*ck#@*~I;YkKkm$ra13cka2&_gx3WDkQBVE%RAeE+Ex45jqfZFy*fB3p{v zlyR5j=r{mv+j2K*SAW;^G*XL$#;<65c0$IQc4}nm6|XH)jQ1^4#N6t*YTngxt%lWc z4WJ+#HX(V>nV!_i?B-MV{`IFrTV>;Wj=cNsY32VGBjvT4l-Tk^c6o$Hr3ke(hL}np z)_f;YlkhQ_(@K;3^g^tZ?*?9E#@I+5re5D~ZigM=0BkNbI(^kb@@Ahp41ZAT7KR91 z;68u_me!30wvh0Xo4jrh3ltd)PrRhZmB8?_)&u3}n)4#(t#|FfBJAPFl{|AQ3R_L& zG4O~x^hE6qq5ASb355T4aW%?pmP?l&z%0b>mpG;T2LA;b(IUqFT@}|k?PLY2o%c$tt+mN!h31x#_P2tGmp~X;!w5;9CeknJ6exfkP zDTqmtQ{?BS&pq=PH@|CXGIsc9{DZ4is><5U5PPT$+gDz#jC5V>rL*QB%^(yf=fWIR zJrmq=C8=~NS#99?LN`a)8g-EI;))%JVWS?i)>D5ZQ2pihp%&>N-psK58G!iM;F}OI z0m5B&&I|RI%1t?;KJ)1F-mqs}UVNocZKZdA$1~62NBH+je+%12JW(L(({$TjHC0tV zSQ^2-$L4aBUsUm@|_jkORpO&48x2}K*Itv^#-MF$cA1v*D%`O4I&MxWl29mxB zq3=ePmp5u+ERT;i=ic5Mr}TrPNQ7U2rUx5=#dHO9f?Xx%I|bIc zC~2_`r@7kNWja?tPGKOmr78d-6#i-HyM*v!IZE-sjd7T0tI-{I2}! zAWDY1PNw6WD~o;plX(?Sp*|>>?-*8-yh2fFBL|3wfS{c2P$+Z>)&R)db35U{0Gvx_ z{BcUsBlv4VkxWTU0#yaMYW^ly)CxCpT_+0XkO!Q@$T~c1f6eB-{8mR*nK1&+e>YAO z>b$nxJE-!rx_Ad`&3c-)@jwCGdw}0Y*vK(6k{p@0rg_BY&L#gB{F&E($hn0a|8J20 z+z9hNMAc(BaKWzV?-|{ zf8JipAEp1C_utg7({&Ka_1&Km1bQm8m+-4Qt1_um2ba{SIKXKn0Cda|R6?AzEVh1J z{_rZ{;|S$At1l_(m`+_BrJAQOBZ%hn%9F8mJ=BD!5eicV8l3_wb4posEx%;qWiOk* z!G0R7p=91gy z{vN^S5@W*lZGuOKUb7qbu*b5a^(Mye3W8`}(0kJRjiuZn%WphiFUOSnE&~DhvCkU~ z-cC*mmVZp$5%~f7`X=pG_{Vn7*vn3VW^K>WH)$8bKO7$2+Xm^vVjqI}JDkabA{q-IgJzd^5u5?0=1TnRktR5P6j%Ho z*z~e0HAt4D#_2rvOx%(l%({tb&dpOn-t{7DKS?3`s3N^)JAuTe&pL}x6K zhdhm=3-n1IX~As89@WRzuu4E22wWeVL zsOwF5JL;|1Y1#eAfcka|zkOrOd3`*B?6k~Aw(+H%`#1u|kMEiZ@Bgrym|kwEY@0_X zX(hWzD47@8gd+)}yRdZ)#kV%)*WrJ26C&1@ql|R{@mA+o+N7$03Qe`a-ocSWidkoA zc}{gLINVp7dsrf$V>EcKv^vZ9dvlmC^~UGUgby1Qp*uL6MEVoqI&8U&X{;G*^$V-1 zS2tFZwth%U-N!2;m2n$?saA`H;JbhWi#U zT{2&3I*3HR@}RgIyO|1}y|k)^DAE^cpY*+=q{NS^fFN_ODZiBMYnRh#OI@YaXjfU6 zz+sMCSB-nsc~c#aIx0gMbeOZ%TH~HDiptRWza@DDjyORBX8zlY{1u^JRBfN=S`8I$ zXl%J=G}BeKO${%y0cn?iir6;pXKIcpaN?X3BlQGqL&G_xQNlyr9F;^}GT8yc^H!Zu zXTN8*!trZxPIL*to3fy7da3|*$C2VXYF_c8>l)sd`dm3ki3sH)r%gL)lrLJw+T^9J8<+z3=)^+N*RjdWYJWx;%B08iN#C0I+N!;B3tC z4S5%`IZ;>+7)WP!Xfe5^I$L2bIa5et!Y=Js!GxfSC%XDpBs90j8d~x>VR^}nr5yCN zajiH!pEO==QF6&0tcy6wi zoWm98``_?bQp5kPU z3R=leczr21sd#LP3+51VP}Lxf$U+zdRNARwx_`4~lfej362jibq}EM{KbPZD z1vqC|iA!?PSm*^^+HnJl)P)~tVCq$$<+geT77&S`f1yxpzf{)N8QLwP9lc>4?XU2$ zpTK_#Do=bvG9WWm;=|Qf^G!-{Mc}&jF&gZx0aPNHDK(W1DCMW0w?-F4=XpwDGby1V zUsx~9WAPWeeuTF`km?uV0vbq6o>=>;aOqSsB(%f&dnj(l#O-S!gSb|=FE8%{wjvgK zZ`35KTtUK2hr$G_oDG0CI3~Z55fXO2>0HLuA+`rAbI61xqinlg`M zKf`5ik|dB=pMpX1m>BT%LVhEX4HIQI&9Es3SV~}}ef(2TGDTxoJfK04Nv}ysY<}6& zhTc;N_a@&rQG+1V7>eyKzwYnIEMc%kc#ZZBn=a?TzG3s-+_m?o@4^4?9`PzZ^TALn zQU4x8R-Be>zao(bn$06RIk^EYp24O(d8*hY$`*T3IpQUmM!c1_Yw&u3R+ovJmhD1`4wi?E%LlIeWz zC;Do+LBjca<+Z@9X2Ei7Pzb)r$FF6X^39a@mlQ4mzU4`qlsv;zfh-FBmsW2`thVs- z+5eQ?$uzIsUgj?|d-l<^V=`Km{NUMt)dOF8Cq27fZ078pcM<=IQeG`u&FeKBt7~P$ z=9U<4k1hFe;!i!h>rcd|ITqAW3$LM$- z7*Q)IW?15@q2Z&W9+$#HUPP}C*p@6)u5i(U3RY|VDSIZML-S8ejqMHtBzzkwyRpKp z=pgtM*+@|Jw>|MPt}v!xx%xv3;#W74-T$C}!~9q#=@|2+5aX|I^2vOK z(x)wm;usU7P%=?B@d4@ducz4)_H4T$74>5u$%RL8AV0&+47G$H8f++23hE#!xQ2D2 z#2CGh`g3VMH`5>^8}aVzZik4{%>!nV6e2?`0;|f%BENidQbjNpoC8&LGAf|+~vBbaFS#g zb?s3QVqCoJhZAG7$BX2_HoEWrKazg6d3<}j@$y%P*T=WgZR6t1DE40z`yR#iSV?+8 zV3*8=DfB(9f)?zdT#Z-wEBoayKWPve%7A8^nwQWP7V`@+$UjLPP3bwS8HS)_WK-1l zrg&m$&@2w~=*@cnSE6KFtg-_g5vXR#FnM}bt1?X|GgQRqA9-d%fw-ax%H?%;kihf0 zhKk>V)baFI7D5hGPMWId+8tW(1AhOK^p-6!ynyGLT_J!lMxxU^w3pSMY)aTSyCk|R zmg)p#!RgB|XI|ejU^t}+JOK+E#CoBFjQf*Y>V5N=8gpZ9@IPZ$`p*;@{2?5P=4 zs3*F4TiH?MgWehc+E%_y50)ZZY8YvidfQP%^ z)Rry@M-x>?>R?#6xjU{jS@JczjJRk{DiTWln^c2UL-2^3{-@>a{q5xD@oduK8%?36 zbT{`!Zk}ZqN>>U{`QJ7~W8UTt3|p?tu$>L4t(#W3MpZ2+TeuU?Q*L;(h_TpX>0Xc8 z(JZUZ4UmWFCe#|6w~~oQrX_TD*UE>{mor?n1)MAmegtlKBP=$oUa3TYkcdBl0K_SN zD(@}&2i^}1CP%OIx2UJY&`#^iYQiJ;&}r-Jr!X+=`E3EtJj9Apzse3S1(RpRLrd_n|NytyR zkOZ3gIz}%}g)Mf0{Q?J(6me^L#;!cFWIO1cZ2Fi1KaHXJ=|l}U6$}uNwr-Y2kHY8& z#n6s=iet1)3G3>TsHTSzLnqlt?ZJP3`RJPrc!7~-s+1i;8c#C%j!uJEhWsJ@!<(4t z;ZI9xQ&*`}4r5dCepwNbeb$Tp`-V4>VSTjz#qb; z1#@cQ4B-jMX-mL=j7Q%Rw{lO!PL_#yN1ffbVlUeDGX}6 zhS%@Z>00DZd&oINzoALAYoil$B9!O)bps-$2QF}5r!Owbjtjq7mA8n99AY8ckN8Zg zLBqWl4`D6Bl=XVQgl_OFob+s-2-c|zUD!?T?{#$v0?18YQtT*iZ_K)lHPqZ-Y&|743 zZ~GDSH%_VS@o&oV-&?ozVDCg%Cc2G0yx^RN&R$}$FLWuGGU6t^Q1fcA8@-97_1ECX91&7bSDAxa zh+KG98RYTFr8>I$D>Zd}ny6;hme7qgCHd*3KBK+%TBqvaVAV7$T!8<8A}nT5++r6g zzB(E4C}Mb|dxqmI3=9?f%|9XQcVhM>>904F>l9o6Bk~1s?Yk6I=iTVCD~r58t>-I` zz;LCY=u1p>MjEl8hkf>Kj$PEFV|iEaJ=%8*S;4$f+&ZOOkse>{+0L+jq0CokO1DIx z)Gobu&!cWlWVN*NO#{sxZkEeAm@iv}scXoKr_M3y_FEt1amJ>aYVuL92_d}sqX(7B zI@RU>^ilrf&YSq;wGo!+2Tex=uj?I+BjbsxuhJqBEE?)qF~5cu`NdVbD7E1bkxpgg z5e+BEN5<~W_8mLCDjXj-vwKbNo1-6+(QRfczYHv58;__HgR&MON|iHwWWDFyWWA}r z=-Kl~BvoIo*+(_7kFm{kU0~( zHCJx=`HS@6^c1Ww=is>s>X8P!`u&d$T&ey|28Z$zxibyBzh_#ZDQrw9AGLNy+oD(Hy70kyqgnx6$HEY2k`}wM!-F zMMeQ56;z@293r4OM&sI(_`~ZOw3tycsRGLP)lK|vF=uxI^&Ih!5_H-_Z$0lpFN?m5 zRE6FX4-;+UawMoR%u(K;h2q{|!L9oPs4*Lz2$=I04T+TL$-;@+b%Y!YOCS#fr;*F{ z6U#4OPf#)9aiuZDVh$MZVxi`$CwCdX4Q@^Y}$*6k6O;f!f`HO}61JibX% zH5b%fThHhJw84{$zb{`=C;2fOGV>^#d<= zLo-D9VT2%@mJWd#U%gzPS(H{q9sC45sndqKHR za7P!^&fEuFD$w944(XsoM&<}BhDI<+(g}aK&MB055@Ybi(oMyv%b{~d(jLZV4a}JD zu3C(tyC0gOicZRxc_pmKN3Nx^b4EyLH9z={vTdIR${nXNy@;4R%5XxPbZS6B)*NpN zotK0!oo$ZkC3RNr(eYOX>B2ZSTnvpyx`?_Nsl3I+1X+^SC&_JBaFka>pU{~4Vqyat zO*FhxVvh-h`*L}mt9fnNbfX4OGX6SXT%n63> zh>=C7AFPSPHdN&;n7fV$a^D)TVRlO4)0(1V`$epxm^9POuJmlc+g+J$qsFzt*8ecx zMSXQtyIpsuJK0VtHRo_8Nb`DcD~Tk2xg5BY{-uMo zscQRYQa$7@fqcHJPxe<1xGDkZO}Ri%ZK~3uRgCIJ@eqe_L0P1@sv4Sbm9iv z%q;%c+Bu_AeCH>rdrR?zS?Bifh{WO&mD(I3gYKc!1ch2-i9OJ`Vm}L!AZsmNQl4~| zmV87wWAf1JsqTfgA+dmL<2mJ_I>S_ukk--~%5O)L_^dD2e<&=^w17m^&}6ZyI;hg= zbAI;o^-TH4Z%`)v^UG*29%iTVkc@5u{@8V9XJT`41?CiZ-qxpdo@&%*H&cEzoE4Jj zJG)lg_hRLWgO5nKJ))-PmScQj^BsbCxEw6j>&BI&cK~%yiro1o1KM;}0J{?7VL2<1 ziiKh&I4h{R5-ZNlL}NSuRCo0ii5$4z=kn*371Ss$94OQL%wa43c!Sr$DqgeKsA6Wf zoS5Psog#p7dgZyEMM5qTDQ{A<)hVQ?1hEOnXRU{sVBEThb%9Ii~=EW>_6guHIs zy>smIeiCc4Q^iZ#{!zUnm1{&=VlXbS-dZOfOWjq;IsH!uD-uz<;H3oSY5%{EU zV6mD?m>UDnD=7CYyFNGoqGh`G?%SmpTr@(o%7!RI-b%J@Tu{|o^DoP&Tx-^T58#7` z(_&g^4joe>TT)~D*w6nN7w-l_^F=pHk|u_>1|5#2{40A3T4l3u@Vq)Rcd^jsK4P${ zcOF^gG^nEx8vq5N+F-2|*8{_bhh;m|FzX8hE}_7~lIioW&b{M4!wlJG!wj6qLhdC| zW(Qo!&b>+>hZ)TNq>7+2We)aG#91%CI?JnD3^N1+mo&vBfFQlv z7*CA{(wYmt#hltRr#C4=TFv_~w|PlWgq{@^gP7ZTj0y`Uk{&-X`{9gM(*U30)kY^W z^~4xY{6tdX+px82;FBzg-8`&kPGop`pA~W)6rWeHW=GvAu>zQe6kyT)bC@XT=7KiiUlW}ogV5oF@p)Jay*h_^0<3CaNZ^b;ZCjzEQpeclFZ ztRxo5X0le!$~cHbVN8xepjHgz>1F_&kad@1FI-K;nZ!IUz0Vw%EG-Su zQtLAhUOLG5h_VZ14vh6Wb4J|JgDq?P_FhhZ+TnS1m!EBw*(H&YRpLxXYyG!18L<jrcqHnEXis;?=DPV`)6%)dhtFT`>1@<`;p~DKPq`1c4_)LYgl{N zFbdDHM;FL|EK6K@dV*e%(ZG(`y;RF|e8u-Jv-EXdF-Sm#0-9gobt1w30N{LGNjtrYl zFG!N>T6DaOQqvP)HO#+iTifC$|0!A@ACd!A9l7w%)nxSvPj1@RjSSc0zMemcB!HR6 z8(`Xfg%pZH4nIP_G)zeT5TS{)auEqd6?ryHlBGeNVfA~4GvKRsy&bB4Zex@eJf5t(27Ir_QDI!1G1(*QwLIt73_QZLgOfbAHi(>T$rL~}1V!{cDKP?1 z7C_Fp*EnhHJCH8pXB0t^S0MARv!iMl6$Mflrp|<5-A!s3^(J)?9UzLsyhpLAX3J9m zx}nxCI-vN6JmH60m+(HP(RU3>1qsJw>Uds1G_C$BKrqWomTcf9RbTaLCT^{^pP00F z>vf?T)V9kTurT4$zh}yWV1i8S$l8rPASNLx2KqEJ4h*>GdAszOpz`4=n~CMa^K8HF%>05M?YUeMIZNZZXYp)r zdswX;wvZU}I|+k5^|3?hS&Ot2(|-39a5-Ov>tZI|1Ybu|mL&}eKh&r+jB4Q0!upW6w z&%7=3^u2k*Mr><;gSq#VU3}{5sCtPPvN2ag*gbg~Hx}jTgJ$=28n+_e+NXk5)q{27 zi%jV*_fSi>q+|FW1N=dm0{6y*o~X7*4z*7mpcYm0Hi}J3J*9BAbF%g%+WLQun=&$otA5l)ky_`8WYBgM*A(=9teE$)n();QeXz35%B*A2wSOv_A`Pan!j8CbAAU7F|@s;2e^qqBP!_aQ?hfNW1xj`kV(RAL!sDOaZo4x;aNS{!>P|6&rnN%?cpbsGb3vgj>+^ z?et+X$5U{ec5|p0c&rkF){*G3b?g+R%T8(p&PZKFROo|Trf1X^m}3+?XE;qDCw<3d z`|-pA3l#*m4!ba)slj&t{xxiEy)#UuUOct43Lb^Er`H=1J2CZGQ2q+ef9Bvg zKltJHB?9cU$6=@kkc@|=L}lSW%yCJ(Ol48SrmJH8k2IfhBb0=YHoCM z{ikrBrCeJ_lff^;JcguxPS#F^3?VN5An96CrGc?xdC{Y5uDmL(Brg5_f^!O4Ag?qM z|E?J5f;%j={BPPIwVwDBtQO(fkIx5qH&$li)3Wlc8i)xPevJeVi>C;jnlC5#ys$l( z9Wrq~=6;I9?$FIS2kL~7M)sL_t?80=n!wi?lM8-N*)EnXKv*SMeSCe;_EU_QB%3y; zamV(qAyR#BTH;Q3G0VGe|8~r#8Zo`vUfT^+@A6gb1qukn+QpCLM#@Q~OY*02^)CLw z8vdWrEE=6axHkg?NYcyR3=Xqssain8?ey{DP7<#KGJ#j+;?v&3+ zSS_!qjo>*}@UxXvO}3Rh{$?wgIV_M7F({D1!6Ts3n~Rf@NUd@M!c19F!;I7;d5);43)x;p6Nglx_i3vZ}X=nva z_ZjmZ>W+DjG|;ME2xn|a2q$)vG%)QrFo$i6I!AYiSHU^iEOttu_lbdBR6wLu42j7~ z!sIdJuTZ-uC7}Rb5EjZRMXS|x$D$S{ZuwyIq^>z+KI zL8(n`qhlx7-nqkQdx}`6Yr^cnb!?&=d4}Wt^S*{!e{A;S^#}ez$HZ%v(dPqKI?(R{ z*VS`j-M2dXaX5U6`?OZSxfC~;N1NxB0{e4u!XnIHe~!Mc)@Aj&FQIIaC2u*$0G&M4 zz1ZR4=Ie|7$9Aep|D&h7^{mPE<`(7-Py6-<9@cf7xuLP!>@fqu;q9?QUGr=FF|f~N zW~N#xomwuOOO-*tG~x3m)NKVS(o>6%FPsjKjtc?C$gCRm zba;M;uVPP&vdjLr>2U6aQ{k~67W&V=WhDs2a6#7rU%>Lm3!<`%X|D`$k3;Tk*w+ec zT~^6Ma=6AEN$BoxIrJSxlciIZFnG~dDJ&GvS)z`du`Fp(1?CoZcr=IU#tp_TexJ!c zU*CuOo3vSAI8k^EU%q|P3h)>t`>*fuMlD+WR^uy-z+wP~Au8!G9Ssark%j>6J2b&* zVe(cq-Wz=!avHr)P(`yZ&kA#I=JVxx=kv8zozrz`dc_U+E(;UZ=kt3;8{a}@w!{UJ zhJ1=%e7(_7w>VG_o|w-EW;^LNR>VHSeTzO;ul+6>v3{ld9C$J3iH@EP#H;uGW};rX z9mce#P$aBHG5&S=Ig>wLFP_kJUU)Q>k4zMwEGvAQ=(zI>B6POx(u?_-`q1MQfPUU#{WV?rM7WR^kmRPhl6fHIVe2J?7IH)L1i z8=V9>%DVs?xjm$>2Gp;L&L4MqJrDxl2OueozHvlOq&S>OqDBNi`EQ(lVYE8FUW{(R zmo;k}3oH^^`Xg1E9U=6IxYpJ0dsP;x1{(I&k(+jIV@*TcbM>>br!Sie=HF8t z|J(zoCC6!@0PM; zMRIhm@{^v#9V<1m+J(QbJ5A71=mC9qdKwSL5fmRMN4%@x5L8;BsMd)eQs3d89v9{j z7!6bS%PLv3HLCW;pSUTnA?NHgvF|~Ghe?+J6>7CL~ zI?MvPieSYlqzaW#TRDjRqt!O$?%U({z@!6Oudr%OPEI-^7G=7n=K^{XOP z@wZ`LW&0Q zS`{Fu3Lp8x(U0*pvKRp?u#B~oA^n;if8cyIbv8b%6-Bu zWBAowz$W~$#r!HTH{;>=`7tkhOV&B2_Dj&K&^6kwuvn!e3jTUnm4{vWmpqcN<#TO} z-fF-7Fw51;okOtb50hxW2rY;iylxz&{Z7QFpC<}pc%W7O{O%c!iDk67L!Sjw?E5$w z6rx2i@Cn@R>jRjallK~^6G}Rrqv-N`T<=cq9c?tF+=Z73) zS<85F762tLl6&wGTIyLCAgsO}2OGMJ!ymqjOA)>pK{ooSkwrYz=QccQ%6>i|Msm=I zY4_tnL}~A7^tYXtBh05Q`~;4Aq4SXaI%&oBQWa1=h0-c;>Rlr9y4(~eS3=ru0yX+U z6uE4+B*{n2i5W1hWWWil3l1IIV5coMi9^dp+ zWsdQ@IwzWUrb@3#wyK5cxVq-h&v%bWy4w6hz*9TL#@f?_llUk|`n0i*eA6*P)OLRb zh#+h{ol(RM+RmRaD9hC}up#{hJoHk)Lq9GVp+__pP7iLf*bDaGeOOSN@75OnnF{Dt z!jVS8f*g{Op0mLmqLE)Nzy3ww^|@Wah_K*QJ+Vh(brFCkRar{7d|FEK18ayCYzkUG?#6pmvIN4nkd`I}w)^$1R&5g2t z?{i|$*;243nO1`?{p8q|Eaqo6#yx#3z7+1{U zuC8)7d~Z?SGB_~I_L?Uggrtv#TE_>KpnfeGd1w#gw8E0)32M=D??b%Ut>vL+P2*q7L7Pi0;JTxT0E1 zpMl_6~g$lY+!+d!=K8nu44c@tmoQ+b3vG3{I8Ymrt+s@o^{ zCjif|nzZN*FUq(M`_W7M8d{hw`Q!MJfwerxc4bbou|wg2?<$^?-w(5oZrI54o!Z}; z`h_*}sQ`$yrqlP63gF-e)`1s2G1XJ6?Stqyrz)?F87gt7(L>H9~L`J zt!xwcL%6rT?9=kg=*N@ysO$8}cU6acM#34`={yXhsBb(B{#GN2#2wwT=JCG*r475K zmyqs_bv+Gd9o-z;aRt-fW1*dcDl%?_^-;9;*~X*~ONhBQHC?#LyT)Z{IuT_!#HEu4 zDW2P3qmBppjBxy00E%n>Iaj?QojrnfaI+Znx;3vDq}&Q6W3X|F^~!OhO4b!Qb%_ znJwCMJ5|)VDiGNw% zDA{>xmRAC3==!VU?GN}j?s#8PZJ)&g!sS(#=D4OWvQlWa&y{TZY~G?Q?Rk&B2zrl- z$g^>&dGOYpQ^QE@+D?*fZ?HH3b?DO;fp5+^We(S_*<6<~yhP^;un;_SeLgY#IBAKa+q5JWA`|=}nX`LUi+QsipSM{vY(kqvivpVd8ojOxsTckC*)&;U`3y zJw%6=9$w}XhlWBw=dX!m(;XZOjLT`%d3IdA641slS^4`xvA8nS2oz)A?lnN-!lpf8bf>^iWlXg-;rzz^D zH9`bb&if2+)?@Bh+JR^~JX^Tni)BpZQgPHTvTuN=+PA1>BXGin75q3s`Vetpqmbe+yMhu;-9gFrH zy_7?n0Z#qj9J{0R-yD#-FI{H!kwZ&Tx;Ey=?z&vsa}UMe-Oybv3vUHFEM6aE7hHXk zb9GC4jplA&f#Mu|qf;MremM70o9e2WR?M_y36n$Zv$Fkh5eCy3X)k3l>lp&{iGB>D zA_v~oh`o=0!%}2x&HKnVthARqplCe0q?emlprZcj(;iE%xn2L{6)2JIL~oT_KgPh+ zcC0pc%8Y4Dt{ZVa1JV9j25qGUC=FrvvAQvL16C9s=3;J&rRcL==QHwC{)7npcXRcy z8O_b{@PX^e#G=z;9$w0`XT}ZjjvjfgKl=lde8?YSyF z?OU)VAl%L@bcu~pofh^gcYK&T++jcG*&+eyeIbat5*1v`k^o+$N(sonnsY#`n+NoT zH~2=r3?yyIIdT1Y+u=z3qHA7*V5@N~&(b~$7sdg8nMw*(Wrz;TllUe6KDbEieQ*SC z{M!z1at-&sl_)C@j~_`C7;gRe$i$MQ9SG;9faMP>XRVSR6>;>_wyKs*AB#ec6x{Lt zTTCW6xCx8m!eR6loy+Mq0HrpEI9Bi8lm6AmvVjl z9%pc8h>d`((=EfXw$Nnl2%n315kCLzLik+o6;9G63EE$5z8Vgl~~1J=jgH@{r9-6FT=|hKNnOU77Us@#07=k zw7%612~Dp~9ywU-T@(Lo>b#F{TV`;4eNMY@x+@^OIc-}rTs~d!&8S@URCn!h)pz2v zmeGhQ&rAv*AvckXl#TC8%-1B9_woOG0K+}w8zmW&?@O(Xk>w9@&~cSNOqI$B7c8?_ zOj5}gWbjjOSk+$N=@QG~R?>ZEQDlc59(t2sWDPGEC8-3f3u-3y!^+iigN@F%vdS)B zK&+nqX=81oxkc^FUa!%ZHXt_0#b09BF&;MTph6P)! z{yN1Z@DN0?e8zOVMn5YngAtDt1|1{5i0+kTNi#x{+8_5t98Q(|sH;f(yAb$VWSrGq zRH_$_Up2Kp#1`ppJ7x-hUFge7y`v9KdzSr3lWosPHrF>S`PDa%ASID;*%$~1(FLW8 z>nRzs1lrb~trA9g4eiz>EUkg)j;rd;lkdsDAa%<5S^}whx`pzmxQ=iE`9)g4oU=fY z_9C@sXS>J&C+~zbXu^0iNO1~v9ug1n-s(_!x2=^6?RR$rCRls&T5fd(a2F_^U$2?s zyP5XLx%^c6f?g3^w~rZQhx9Z30Z$dCP;r<;9`ZY`32~TjytbYw6Tdh~3mny`LV1+o z3xUGD*IC9v^)^g7>gzWmykxK|#Ct7qB;h*cQ$CyUqMDy5Vk(4u%ZPJ}=suXnKKj=j zVmzwiSN$oT6}M5=aKsVxV3HgD`WL-?1UQCG8s-~m9%MIL3ay-onloL5)?(Ql+%Eh> zFf2)Y(n}Bp9evoMd@@i8D+OCbCWZE~fSL6o3QfVZN_}E|C;x8*L$d(Pd&t;(m}yLd;-0dY{2>Jb zB67Dsw*Qfcb~vR?iU1;FKJ|eIC~~n6y|lLu&f&3E{XYB^-*}nDR}bk{Gyg19jUxHW7!qcI7!s$SY)*9895;UQMS^(pBOl8Hz4kq7@0N5-T`63@ zZrl?~QQCuVmmFpq!ZnV-^j?nQ)pAZrX8kG8c`9qpc%)S8pPZdNF)9sy3gKC0I1lp- z(95ACgAYpnu?=1V*I{j=g%|{M$eLI_b4nQCI6`PReK2{N2`5yHfzDzZhqE+$F7Yp1 z>d340^XT0CVxf@bu56vYCEvb^PgAuncbBuX)R@~zQx&E0fw{|#7&_zqO%vQN-iuQD zJur%TmK}4Hrn*3r;8qsJ=#(wNw?FnEvmW}WtxElFnD~zzjH}SyO_D!ihUFQ+Cp5)d z5|X^qd|lN6sjaHUUJVkjs;ZWSqH}G>rQNU(3tcC7XOHg{*UHUOs*YC%rN!P;{zEVz z|AS!g%hCDx7bh>Pt(b!=28&=EP90uwle}=AdKe~G{}W`*--{?aq_qnGU zUj#$-e-R8q0D^(|AA(`_zX*ov{~{P>{|CW9@m~bP**>>US`7<@BA1D~p1op34z%#2 zjGak1bK;r&(hLolPoaNXN>(5CX3-Sr1e>3BrCgr*fbgrl6e)PvD44lzSzAkr z55RAh0XT@Bt-{J1`&PL{ut0y)&*s|yAutI2BQWTm+B7SWv@Y_=(^C2-y4#*I%Jlm5 zb2;Y#{i{~a!U(J0@UdBrr9^#DPR`vTrjjK~c=}BbWZ0x!s839-HNw$;f3H5q`(P~8 zFx}@a{6F(8dyGNPQ@&wO=g-cI^!Cmsea0EmMIbql-gxj|NIJ#aysmqhE18s4Z8cS;-)eZDxVFhF>Rnd77ZO~jSp@?bvm`>a1UM|~%+AYn# zZ)?XKX=}%FL&rh2Bga8sM~-1hfP{>+4!7Y!%%Ve6QrDO#wmhiECdC!E?mxUB1BM<7 zRl!}BmF>TeVlDJI-uy`Hcr(T$DTePs$9T4@(S#mDkm zmUR<0x6QQxg8{GtBa%<_bQfFYRAX9LTrJx4b~``;*seb<<<$4;70R7rf#?O0$t;@M zTxtC~S8g{L|0=v>p;4BZtPVDB*cHv!%VeUbFhKcK*1SJv?tjBza1LCoep?q^O(^N>jJp zn*SK+>^*fj!T zJi^Qf5C9&C;?swIHZ*v~_)z-Rv1PhI_;e_nuuh!9A^p{v-5!Xp{fhygH=T&m#&E!! zr5^6%?cXzyfW6I)=G5z(^yblDG+X}%2E%r(ZFY6Y6n${e8?nBVLw8M!qLsy6=J958 zkI>CTYBi8ywny>|a@f8Q3JVxro za}$`B9BbBJR1JM$B46QyO0+Vz{~!#XVnHVBt<#qZp?iB%i!={pF;7!)aBi-7&=dY$>`pSjanpu% z`u|5^cwjeu{k$!BENw!uXrSgH48QgBk{WT+HZq>Ov%W@J|C6Gto{!$I&vMvw5*-OrJhm&?{u<;3xRtCVbzr$s_;ox7E|5*&Y6o8c&PLuZQ zuR6*Q_f}TtoS95{MxAkm`6_+ectLA$$9h^)zo!t2&#RtTlUYRghc!g$1(XTEF*xVA zQ8LK?wmd?ulq^;I8nTBdYzZgXS~l<}e>->$5S|owT`b2%7m{BnyFV@cm4@fr#GkC} zo(FMldPtRBo93S(zc|G3hDSu2g}buZ!C#7@JRa}qB>`b+5K>c6*K+pN-8hDGwx?M& zG2TAEvI#ow|MhqedB5&`8WJ5ypCZ0M?{`2U#Cr@?+lxfVA9@fk>67Ir_+56_Cl`yMiPnE&U7;-a}^pHaa*;*Kw zH!L`?51BAO))Shy!MRW5O@@y(__jPE($-20*4ap-j~kNCNJo%;yo`x**>>brUzxVQ z`=TJ5K0}bxwmjLlH!1MFigIiFP@gyaVtck(>lNkUd*9ohW|7>aJfgo4Amcl<r-(=NjFhx~9o5TVHf7?)J}N1?R#JsrcvW`08>Q6y!GN`A?D#JE ziFCg>1falj=A9$q_&j6wKv;-H8ZiP5{q6dAmT-uQ`x=x;>92efeoWI#+6G{!h~_jJ zGoPZ>-J>eYF)biw`$ziHzt1r!@ zfd4a74p&H?R^Ew8{`b~4YPzx2^3F$$8Z0&i(AcB)DUQh=J8eNrUi5#pTY@uS%_58~fSq1$GKjxc6 zJ<#aiux3i%(`4_z^b+t?H_nN-%@j6k_CJun?1NVm7b3!{0T@Gpg$@8?u+9Alz!;!P z-v3|>j`=Mia}vKBNB{yuPL)U=Q=Eoe_n8?|Ni*H|wOU*mc3TW$(c>X${WCA%kg)Dm zkXF3axiq_BE#{%$Rj?Bn%XP$Jq^F7?lupzZ7OQ)N&UFl+Y8c_K^*AiIwM+wbHykp- zalSIetWHvvbxtz95>#>s6`8xR;-CN~>xe$+2cWBRtWg>x4-O^p#Ja3Y{F$Peh~CaU zVc)b9>P{}QD@aQrGUKBf+o-8<=*4V_=IS(o!!qgcY6({_lq zCBRf{9+L4pyG%EwJpe1IhR)^92?l@N29b7LO9#5lg0U?(LDje3u-fs*^SJeOWZ>#= zD)O(0Ch1AavJi?)Xvuc-=!?dgA#?1r&mm6Vm2YsC{cxzCshIkk4E1s(cftocrd2E- z)eKcv2}SdJG>K(KKPRlM7U_DiKqS&}nd0T9__tX}bP@^py2($eg!8-t+;%%eC&Y0D zMMvhu7A`&8FjJ?!OOP~@+lNXT!FO~G-hmw5P65*KbpbiO*k~PX=;ne{HL|r5iJXz4NqbnW@_Z=LzA3yi|!u_ zRb@X@V3%5aViClBl+}wvghn-5{PnvFr)?CA03e-Ob0X0-r$iUNme4sG#inVk z6ZxS1(LKcuh;Blcu>Gb5>&DE;Wpdm)Dm)~NCjLDdunigAAx$&HC}lhYPHD4vTd{P% zv*didij-#G_lY9^_=%@3PuYnpiDgM<|8npk@a-o&U4Z(^+-Z(>RkCvp%xn73DDe!~Ee z!OXX7y5JhmC=!4%6#4jeT?VB_W*TgoT1{ya0?VHo-$9d^^darDo*$<4N$wIn-Ay!a z(2|?dwQ7}!O|7(kLnEp#AQmUJ$=No^aeH4Z&6r+(`;sd7Epd=;r03pZO-SX}JWQMU zgmRa|Wo^c1)Wmff!KR_c>+WR=cl&RC*5>W( z46@4ZZ*io*~05)!fbJn1Yd{$*i#(+Fc>ptbM6)b=SF&U-$iC?Yafs*BI(pQa8xblEFEM zM)lZ2MT})f+&dB&u3S?SAGH=RT@SGRR7;jHO&dwz+@H4cs>S8?TD0Dxs?z?k63jIv z^*YGHV?TeP4J^281P@O}7EuXTR~)W2&ZECV!1A@UfZ( zdst0pO=cQIiVDChKiXI5@(Hpg0OpKtCkcPSnerTKluWZ$!rOgaxNcsQ{cLfCtjxV- zPDwUetW=0FwXG#;;UoVSH&lV}AoLgUaq15Zl35{m9#P}Bod2pLN{Jd52*LaK3AmfRWQYt{`*`u&Cc4!hf)2gFV|PVqLRkJ7gmD^nZ3+ z@6S`gKPaQ)%4D2!5W=t=vd6l}UU`v2r1-*mx*N>~%PZg|*xn!OTCuq<%ubT3F`c-T z`G#s#>5yRHN5?yV%M9jC)}|m0b z1cIM(m4LSSUZEzRdSLN4-#aL<#uIR-(=sO`{1XajU zx*&J|DelKurVrp;&v%+zSPS@iq@XDDxi-H&yc16aB`3|f5d2U28B681qY?Io{)hDf zNkL&;SH6(IR7rt^y5$>9qEU<+=Oel95Tz>a*h4CTBqfAMhak*XrZk@3gt{nSANp!N z;$t6$lLFoi8YEOdLAg=au}{-vc=E9}iQ^E5Fiyd15BbZ_jh^Tp zRQlBVCW6aY<66rYFZ@Rg|23kK$em|6BXWPR`m88JtW_#RAl*L!uA^DrPren{i7a%fZSGDJRXo|u#`k9_oX`En?-TXD=G}y;{ahIOSQHbPe@Vd4KR8UDC!R+Vn z!5?IHc#Or)PdatIcQyheuO@!twtuji4V=cCGu_#c>08;P5MF4Zq_;PuYw->EKE%21 zczUuWJ!XMc%q_S#9P&qrK@wg%T~b3fzl=O;=t#(=W6SEsHW?u)WY)E3a#Y==drhXl ztjq2eSpR7Q+Yzz#>to6$)|cTu0+5y~$>jS?11b$K&m|QbvWAQsUX3vGrfIYl!_Q(9 zL=2{R<$z-uYU^nLC|z>m>7kDj3bK3kqPGOJ?Dx`ET(MC$;t_88O-ZOwNwiBnIf4uUxRTjd$?1$3x4|;DpWRaVo?T@oKMg zH<9IlXH?bt{yG>Mn=>IL7jZgbg%}v#Ip(+R_bePo4JlNgz8}Nzd@W$&Ogfp z%_I6yGT|u`-m6KM-mBE=WAj_rL>7qt>H6@3&*6Q9>Tp|);@8m9Fbj>uE{!rr6NtMb>4bwBsWd2N-06|yLj=Oe5;_-(`OiqOL&0O0;&Dot1U~UN z&IR^lbQs->gc5=oZc{prh84PL2GzBv7EayW%__o z{A%3>bDv7#uz(;|Yk6(?OBlh6gwbA?he9_cI)8Wn_z%GgPjDqIDH<|l=>c{{CY2SI zn7uKTKLP33ko8bLNAfbM&^l@31@p&J8ULbZu`=u9p?$e|eN=-83FafTLl^cFqs}X$ zIrXv@7Vm+C`p~zHCodz}A%>ox{ogd6d<1|DJOo}_Ht3)FCLeS71;lO-EN{^MO6cT# zk;nNR-?0hJ<2T;?2Cf=Kl}VeQhbEIh{b8VC|B85nBz_0B&B<%ic+L+?f0oehLgGn# zu@yAuaPY;rD{3r3irbsm_J3cSp5Kzm|3xd}^Se;(JDatXD!#%E`a>kFEI8qkYG3f% zM9ZK(xbIquC2JG+OF{b&)9zU@^dmjBTPC zBtg2afmT#goj1EW4eBpWFpb`hECfgYCCr^_ks{C~P{E6#V=YJUgn>4$O&%J=o(H0| zZWu!AQc!Ot5k&-ZRY4MH6;)c0h)-aLusY+i_P!Hbb2rYA%rLH@%TAM6zr0<10s4Fq z+*g5e7RQVntWn}pR^A=fo%1Src2q&`oc0+7SlkNz;|z`XoVyr(=SxH;D&NuUCJhfW zUxVRS;thqKU>^VC!i6WAZIZOxN0%yU%xdT24Ha*G#{3e)xl-P^#rY}_ zR@hyv^ncOy*FkZ7LBlA#xV!t}65L%EcMlpMxVw|!ED#9p&f@OwBmsiEyE_CYguB1z zdEc+(bFUI*2w_DS%Nha4)dX(SCJ z9BifufFdC#)3!1j3FtEHW}@FUKUBl4?jnJ#HGaZ@p|;bEr?_B`*a;lOm&_-atP zz8UO($gXul)YK)JLe6nycceniH&B@NqCdaO7?%}|0W8v;Or|PmQiH*1&@a2b|E(D&$ z!P@8HLzxy?Q7bmKMk;w>I{^z7K?BwWbzhGudP5nv_{T-j*UNGH19{-hzabGWs^PE+ zelq;mtLMY|@77Tl!XlSx9DPO4J3dE;-kf9)gqC@up3W}Pr(7bnjm5<0?otiW!<84Pdoh$!%f2R5}_q=5H30-31@SxE&i-rzo7g_e1Dw|)f(=HVE&Pru4*%8N8wDP1HlQ7!o_;l&L)8ESO0`r-reN)qWB(F&a z|E$YuEZCs3fWri&w9%%3l5EUl{g(I|KekiaYz{+#*+uBH26Td27c^{mWi3`D3^gRM zv5{68&DIdT{VndX7F6LWkiYJuU1BRbF$U`pgg$xqS)6qRFQBF&QHEC^@NLkes?pg2 z#-=uAFrf6VofrR?+=F0v%;CM2uZ3xAuU+`GV%B3vzzis zQj1Y&@3hm$Up*HTorfjS;rco3%pE$8-d#|0W+Yo_^3mwfix3>Xd}qb~AtQL@P8|7t zMDgB4^wMJAUum`>wowdp@zDyfXrgij>z(Si!*&({H$0Qc>>!6%KgN`K8S40KZp>Or z{v*?F;^_NAkWJUe1=t^sNIzuGX$kIP*-~Rt;pU~vJWN3+s)CEHRPnJ`nSo{Avb;z8 z-#J(KH<}b>&Y0$xn|Bq07ofHg*GgES?p#Z?Ef$pqMCRiDAQxH+ndp ztsn8vo8I4CEYjk$KJ6~EUq^vr5qm6+qkR;qH`k;wB`Aw$*K4e{R1GtNtPh>pJldSs ze3EH}d>mGMWMUB~#-W{;i%w_Gs7$FEgL;LKs1a4}i?^kkzKdn$#$rx%glV>u_e|}D z;>~yO7y8H%&1h>lmz04DvT)RT$3;hFAE{3oAFmD3_T-5K%o8}I=xS8bsXfftcIWV= zAR>39L)<@QU5Lgxa0I&jrxcnp0;9uxtvc^iHi*dRStCb+QQfz5%PSUJ>?;>jc$? zi!SO58&8aOTVNc*1NDmrGB}s2L199L1*x{2j0z377(c_U{pp3#gXGTTiR!k*x5DU5 zUUem3IZtABDUM${6EEiS0H5#_8l9!Fg=1t@@v!5wT`RYct)2F?_%-1_HlDJ(B^#{o zjYHhr&k#C3N?!divP}!q<20$GL<2ZVMF?xTU;L68M*ZTQ&k7tPio1s^GEzJ z$=H)azL5i3;#p7GP!F@~N+nmEhW$ONPhEnG&d4vsn5-eMF%^~}a+D@L{S=zXtlZD1 z%&M8XwOeXuNF$M=EXc}lfc1u3er86qlB^?8f7$$dIZXa}=-*oRd)x6<70x^0|6dxP zp9MYBhyVcaN-zM5{|{-bZRhBq{hv4v&-zsh;lqx26qTh7heuARG9Iv&m9qq4*VCaz z(+$EBii*Ucy{tcQSr8Uy{_9lBMSa+s^D%Ys_B(%;=b-9+Ij`FK^0-jd_jdiGZtLIE z(40%q{e-?m;PYLS#J{_F+V6cY14bNy7B>|?w7*N-`V2dKdi(pVFY(Fr?cY+Y7@7K~ z&6m?Aj=r~@?B7(-uDyd_SAB2yOLe`0FSmn7ea5~2ZjP=bUhi**T_gfu&kkO%@&oOE z^xgf@&o|j}fByUUJA145ZY4dPaWmlLhmYy=Q{`e_oK3I&6sYaF>@2@8=-(Pv-#>OCE5%) zc*BzLe^32wRQL6I=iZ5<`)^}&dY_+ehWMOZvB0`ksJ_I?14?q?+_@ z)6S`pIREeNbTG-i&_+JirLQ4R*~VF&7T~EGdX+X=~_kLCCYMO7+vyK|AUw+V&AY%&;pF zv&Gq2Sjye^l!iYtUNF&M!@OlBuylD~Acfr`*Q8jaQP(iMYsh|9N9AbK0s9manMGE` zZ|@R(9SA}8uRr2CUz1Z?L%aRTmHEg;8&!rM(#B@JzEE6+6lS63_wQ59;~!=ib3W{G zomk}j{FoWtiaZ6%hZ=qU4Qf8L_?cXluBu-e zQ+Eq+*g5&_XW3qNFJIgdmqhF!KWn+1+zTG<&oFQB>~yi_M_^RDmL6uCr8u3mhMGrV zCd!QGR5Y29y`tvHH(Pt3Pg+?+MMX~dw97-xwix^YgHv+l4QC!DuBz~-uou~-gS+#liN z&-(U`B zS$P-)r&rt^>W>D^<;RTQPXB4*#4_DKl}Ba`rhqbb~dBTu6l2dHKk92OE3X68g2a0T%&m^ zx1u1A*(QJgU^V3E-v_HHyLQ!|fmOn4h(7hfcBnGiu;5p@Y4ocjziW;>rX4ytj*vrL zbFkta^#{L$ZLm8+0nH+FsK?(ropp#|NOsi%E`o3SMNi$nnDZk64dL`FkFDyVee~gw zHd&I4JbAFS51)dQw~$|fWUM%ZLXtnnL!nSVr@K?x+m2Ef*uRLYpozyU1UPI6Sv84~ ztZN1&xoog?v8FoRS?>Wb!&nMVn}iMZqGt{6x1rYZ3);N*w~sOx|3vNPdH zL9I^HsDu6;uZvL5j6|u`Ub6;>cC*qZtzE7(xBT3|z;B1yKORCz|3Z$+n!qo!CdIP; zG7Tqrke#A=6Ow@xtke{O;tb%~g4EL+xt}js6J@s(fG@S6>D9Ef*M{cK@cJ*1 zJQml+UygEHH{pB|?!`Ml_R(q$^BE?~R#Pvfg1+s1#(Cryb)l;{0n5r&a3z|CFVHnx z%V5$Kdn32}%Z2u(^Otovh8_}R>&_8NEiRg)Olge$l(Ip^IB=23vkO+ULO)C|vVrA&KjDDB zUaV*NeV4yZLF6dgY(XoIofnc87JSee`A9I_`2bCZcNz)JGPWMtJRH)b2h`EeCtLP$68T_pnmOBwvSN|0f7`{U!og5>{09?w-4cUA4{xe5;07BL3Q zMt1g*Zsd6o?;>&3R;1X{{60uKh z3vy?Zt|5^#rNY=(ujVn4jpB+7tkV^g)0G8yzwKb>ukDA7a-fQvIDAuzgI6|mdlZ*r zrj24?dw^ox<(X^N$zhg_VT1^dXUYQizcYBOcaD~>DP1s>;NKke{5tt$zd^8+=TJh4 zCzzIiop|@J#3v@>go@hZyg`$tw1gyZWbQ|jWm^o7jd#MJQoVG$>^XNnbsuhboa#Mb z5l}-$#Rua<(W*^dMlRSG#iEfJXG5`qnt_`cYlLg6Sq&r=?{|1C=|pa+q$}nXU=Hu#9UkSVOBmGg5=FkqD)m03p!!E6-E1Xivq+@ zKeZMXUK%p#`S4&zvybxd5J0<)Ns0E9WV~2YCOCu?`LQ|{UF-oJRGwY>Uv-I=_Opf< z@RxtqS%JS~{QaG?do>cMT#IV(J{b6YwEInB(VU`W_p!MsXjCr3cC1T9fsQjNeHv8QmRB1Ba+ODMy>W+= zr7gox5`y;km^f|@#cfMolZ%D{dn9Nk(sdLI7UtzAxrStiG$<`5tQ){qaij!3|6gZQ zRgBGXbw(aKMwt_;fa>|4nnoi#1r&mLH;!O!>>db z&%HhX5%e8#R20-FSV0tm2fVk*vnT@YYc%H{x+OeUG*RK+%#jF^zs)HM-bQ_%*cUXF za4i~zdCtUp_7q%wToqhhYxrxFSN+?3a5CQy9dd^y&jHK$ehQB_`8fwE{7*epq6w}} zy_z%r(=(EPq};98lCEJBk$1j_u|Cki|t_FttIE`ei|9CtvPRNaP5Hp=WD6u=6W zzHy93!b!hNhZVU=0FUJbvqB0&e?M!H32C$SjTkmdq6AOk6T@@zYI76UhwO7&U}dlo z_l4==P2OCFxsz|>j{y>LzUk{ay6V2W=xvT7!twidQmX|4u04h39~qgH3((PG6nPLKdo7yILKq+)@KKd5nDVnZ_Dv zTb;&2&dGWZ1kIJjdnALa+df-=bu<2Ytizw^($d0*iL zFCzhTU3HA`#t*+lf+u_Tr2Bdd7KRQV6~qzjE(QilL_yOCuDD>nP~XBZ(IDQA?TOuV3^Ir8>8ji@6yLgGW5D~VOnN(><=a7l0Lqx< zXaG2ihOjag6^OZHB{?`haH=r@h%0z*#}e7$@q^ug_y^aGIR1&~xBiLev{J*8*cbjr zq$>f}t)Rb`T+_c3kUP+WIS}ciqzajk$f$SSf=5}u0W|E0h))>+5?p{;{d~Cy-UGms z^HU1>HpOk%j1iGWaC#v-HB!dfSDrzOk?qN#AR+)F4F7e(9Y(tcG5Yd$rH(eHMzT^! zQK}3`s#nO)+7;YCgYOMakReF;yh`n=!s}cF+#>%TEEDeVnbGG~#&BOyAd~WL2wrU! zUPNVC3gNulAwXo$d4HWw1rZZRknIO1j(1*5gq8O{8m+_3Umak3$|7~xvV}AXhVueg z@6DE;+yqT`=OibLDCC?w%P3?a9hl9kiuAWvYETWrqXxf#2BOPQpqXe0wGatB6hfL; zCri2m;5C0Kd)WVN190b{d#dRs!dyQZ+&_rO2WVq*1D3&tS?v8Ddc~Fi(Ks(|fT^5P z63jJk^C-ZV^k*)dXq*N=z$~zDfTR}-)^eHw_le2}vtPI6bnVz=Oez>XivWgLVGQ)s zqu6S!RE#AfFExWG6D8YU)@Ug;a3S)G-Z0~-Ucd5(SOAy0;d@l~gO*1DIYXkq3J+*-+vQ@O6(?E_P!CbCsiu=1>eWP8#;$!i#aE9!Pcl1x0E=a zIsKmQpxrsU!qC`{6StA%xG$U7u@svLGQ(SB7J9dv*mFwIN;9-FJ4gmQNET`f1sgyX zT4^UU&Fq3)Is}h*V9WQqi9Lm}@zgqqPCeUJzve1*ZlXBE*DUk9YCLHV<)?kZG zOC3y2gCA$2<@TBTok5<;8!+bOavp|G+Pt#(1o#G@y9KmwWX9Kx*bf!gVD}Lxv1vll z$@yHM!FTk9NhlKR?h=fMgGyVt&pWKmUWX-tMXA_sb@my|RrKL|gN@CyxTJpVJ_YKp z=>7L=H)n(RJx=ru+AmWIP6)rs=&Wh}222&lLxV7vD!gkiUYOTF^e;?`mu5cWWZ)M^dR~_B%X_H90plyn)n*w9( z;oM?k7V0Ue>G_(=!~kC_W!f}k&!{553^QxKbU?tb!;D-aW1r1Lu0b@?qUM`-9d*|* zxVd=a+yFgRh2+4hr5>b^N$o%pN0Lp3!_3@MeqY{N7go(!PIXcilkYNVi!GGb)+lrEq10I0~ zi6NZyri#Xi2plAAeI!I-Z+}Cl;GqpJh`ijG{2a#CL%4Jcl!!SZ>bS~9Z*e9?yP=OM?!t$3;nK&JtnM$M9(4kzsXWHhx)+6 z10Fx|{#9zewp;cnYRV{})Em;-5ka{?DCe4q&b(;-t$5xM1#xaOQL0zg`BgGiLI0ed zRS`82r=XZ-I`7Z2(TAxs2RmHpNdd|06JKd{JThMQp5mJMGHcG4O)`#2E($^VgSbRR zbIi;VH1fYZ4rw*{h#Q8&wZf->pYPbFHMt5>Z-v53?ZQxgmkTp1<-9LxVS``RnL7f& zlAUe&sSy~?WU z1Y6PMM97M9ewOg5Taf*!du7#DiE~MtfU(*ZAKVVD4JBp@8U=j&`G_)DaSy2?==|_0 zV_OX5?wL$9n_$lbGNFWA2jL#qAfcyPm>my*fJhpGwAfo>h=ADr5f9p%U-AW3#Q-1q zwGhT(oT6I1E8?=jIUv9s<$|g*WwcbrULl2Cv^40dcsDY6G0NB4khLN>tMh{BZ&W)8 zdHGo$3TMNL%SyGi$zCsJGXtBXvGP*LHsqdqfjSwh;iuwR?0jBxW7a_)aU)!;n3d(y z*1K+>j=d3ci41(SbX=!dVT4It2w$&#-@k%c{YC14c_|0UWipl^t8E3Ojvk8T#GkcG z-Iiv`mQ#GoQsb14uEH=#SDw9?+ZdKuVw^}syQff}p~#+VdJz%c9@hyIg;lf^%!(3< z25};!lYnOUB~U%l#|eAkSPCG8w^IbqN9ZQRiDVpZM3_F&h?i2trD?s;PCIQ$&Q0@2 z?$C8D?t@2)X)=Q{(BY!Y@IvEVskPzd8IW;qSN=}Ljx~|d7`v%v{-;$dC5?X`GKam` z{ji(c^oIQ=(UQsQq*!fpo0d`(GHwK^SK%5(0Q-F#V)QDm&dZpgOr;g{tpsR8?=|Bi3~@19a@4T0 z$(U0og6HE)UzbAu3Kb`pDJ_LmeWb!pR{dq-Ws?F{z4(@trR%b|-#_X2bp#rBTt~Ac ztsMV^6RIip*q2d$eWUzA`l;p9S6q_r+PNgQq5q+?ETVD(rh9-${X_pZN@zxl&1nRq zq~pQm?ahEY^_Ioir$nY$VGblvw@Bw?tkn}`8yuwXe+;r0zkZu&XC5YyHfA? zuCElJQUtK|iSRW3*&kXz{{VY_p&EDE8YAY4m4;kjce9iKUIt-rKs-xJP0B2FD5y}* zr2bgK$JH#ZZnaLL{4TY{$N%>=DfUC8(kGIq(xHxl0h!aOE_dKrdYG+{u)7<@7Kn=) z$c6j1^o?CHx?Y@@8jFO&PZc?_`jS;^kKIwd!ozhUTCPHc6b~|FuW)w(R4u~nKpYs} znwL|RANfz0vTK>kq=sq;YZQZ)V7x+%0|My#;w+;HdZ2~(^qNANg8H(tf@%(HPq}W{ zEwm1vgBCiJ{$wb~J#p~%fBA6*`_~jk_%@{nQXl?T7*;XBYAL$V8r)VP4W||4wHd0b zul^V)PX}#*J8qz}=l^?dJ9LTg(QhhAr1xdYFE9MKNvOcjXlY(ZXKdqP~6vfKE?CX5Yr{`I8#lEPyr6#q3zxN#}l>uoN)!HZ7Z4x1gz3#es zZ~U*Blv1uhLE%~GyuaoDZC+z)Ekr?qH(8#a@nTn1P0=nPEGpwS9WKFHHJ0B(@f`Ok z{F`}8h(oRd8iU53KP$RAdP3%smE-ybRd9T7KYt4Al%oVpXVV=F8A%+YNwmIx(hfP7_KY_8B3A_f5AUI3Fg2M1xzSrlH|sP##p1uYG!-`v(aW zr>hz#+vjRq60$n(jb3U01-0Q6Ki`HY)C}6Q2>*Jiha(_UMf0xN4LT*(kMR_2(?^v5 z@nTegkypgfb(p4B;_$>aquzBSt&``yB&*t&ItZgc>kvEHnaG`}2xb5M29Fr-VAAB# zy)ASasKnSDmVkG7ILZ*<#@X`wgBOZ!Ot*iw`g^Whsa%w3pIlgGmh9M z3sK88`k99tHcm1j(NZFg*Eg08PeB0&b~pd7V9#?I&mK+ZJ}Uk%BPm_4-|teM*GIm| zF3?jD=&&GtZHs{0_ok&5P7-Lzm<1w@#2I@CzZP53^ii>KM1MUNZnGS9!v;G?mtjLQ zk%y~%YpT<}4>A-~?AHWsX;G7s(<3-U*HPE%1#~maIz4@BX!KDQ9iHc5Gi_XJyOSA5 zK-JTu45Q+n@*B+xP|vJ>{u~wC`cnQgGi?1Wfp(rE^YY`WKP?3*{}i)9E}}d4h75Np zmcl?h6eo+uDte9?l{SY2*eAKlmE7mB?_`-0W`(w{Dfpl(u{pu7{9LAA6-D9S1DqX7 z^P=nKZm=>xsrf4^q#h=WmtZ8FP+~==4tq|$4ZVup&qElS^TeCXU%%LhQWSkR-#=h>7X_dwwbtYJ-rDy^x ziDK>e>6bU+g`5d@!OJ3jriCoD(Iix3B!@DdJ;eAFmu>_YH2Rqaw;AS->!hfD4mJ{~ ztgElUJNpsgJP3DB^fu|&;|?QLDw^O_5=4Wos74A)!pvMO*!VO_<)*nkIKe4^dj1#4 z-}iz$Gd;)dNF+Rp^>;IIkqaC}5_{{COl#h+?qQ#ZdznR^A&v%qCz9K5Z_3U3WgB2w z%M($X!n-4t=B_P#g-B0vh{_x+NN>JeO+Pw_c6DxxTovBofC>TDN4(NXr;kpQo*ze0 zX+{GvqMaY9qPa78&|oB<`hrtols} zl~-1nqp7|+#>O1{JBTpNf8B<XmgrzIRHx^e~8U zmhGx3!S$$E*o$lm_J5aUDu`!XkYUmWefDWDg^=n#nNVG}{aw?oDJqf5qzn~*0==FX zCA$E1*}mdm=ai;jRFg%wl>8xds_!oUh zMzBbS_!cBpp~~xO(;45Y(v@X_{R8D3ZOin?p43$KYN8=y6jeWP2CR) zMY{~t(P!U7VStrqCanY@@RmXRk*#8E&oP%$bc#_W&W3_8oF1qJW$=uP%_a-#gs9$9 zPgXm8c}Q_%1&XOn|1$f7NnGS)=KXj`X?le(lpcb33>UJYU0o8{I11C&@Y2~hMK{*G zsJ1*b3*41EY8(8t|A$4iU9xeIZDMTq|97o?l}yiZ*`txWi^?!wXUcwu`vg|(98HI_ zz?PN*AJ*B8Pq&o}+?iUu<&m)1PlS0!5h%iW#S8WrTK%R10TY>+)IQj8Lem(R*N9#Z>ErHWmW(y6TtzGA@3&31;h24^lb_I)#JM;a7R- zGmN=BPFy9hMD!j)3J+b&J<36;QZpj|ey3Nilpj>p#)~}JJ+lqt6{z;zR9M_Amj+sj zO}Q}~YsR;}eMG*k+R73wK(UrKoy&$rJ-&clP$>$iS}%$q&#VSq*4w8i^ID-wLx1*( z2&0yxLJSuVG5i$xT}EVz{5}xxj*{JCD{m%59q(fJftjJLI({;gG~QbYO+Wt#eY%6tnWMbN4+-gD;h9^T!gH1<|!?|Mzk%yi*5v{QpSwKkVolg`ZF?apn=@3!&V_UACIv z==Q+>xcA{I$~OFh4+J4BiYBkXs$kvUTXctD4$xyoIf{kW(y8PAAvbn)Rj4WcThza} zI{Tc!mPrU?&@A@1Q#vPW5Ho_2$1_g9)(;uX{ccaO;L2!dffrJYkD&`haPH#$e+#ha zp#7%Si7*x)%%a;u=AIt4Tjo=!kYqWa`XNfxae_26eqL1UiDP%D*1|LTVXwlbj zEn?sa+mAS1XNu$d_3~Gz3h3#m-q@3nHK~eJcy#|?}^M|L~YJYS8KNNV*R{eXuU_0*we`CJmare zW`dtFGD)-0CuX)w-=No%IhgB9kbtzYYb2ljuOsDRW<$WDwfHnief{>Cg;PvDh3sZJ zty>n214GXh8V}D~(?x5~1)?mba20zhD*eQii0`JXBh$VfGbSUrl-U{W5o~$Ht)&1E` zGQF;BbtXVmzB}I2P`*Fji>kAsyr3nz7(&4WDhtkMj9{fNU#dO!Q5G@NxPveHnI^?1 z*c5Ffj~{gLvZp{qyMHoa9vh|C0{Q!Us)-iTggICk{`_E!i8KN!!Z_p5FZf_(jEhak z`pi+n%1cm|?^oaNhs2~rZixoNuB(bzPL(N6dLnPB#@e=%5YoMRsPj}ksEZTYZK`Zz z%F7Ulqk6K)S4thH>1#vJ00MpF_%>8yRiu_F9{i!!TsdsT;>W_do~(-HxXn60v3-@m zy>Hc-e&TSrGMhY!e>Sa?8X!vvRy44);-+VR)SEdfi!BKe7(}eAc5Nw|Sn5M*`##>g zU}bpzFub=$^}$^gr-W3fw(z|tE$BVIRG+sm+4vpShy$z5QtL)jM!ff4&?`z`B>5JQ z!cW6&b*PvMUjE82chD<4hf8`YcT>G?Wo+nDD79@z9{t|?3{=!$zVxM&y3eOnNm_(D zX;D1mYNglli?05m-g4Bykf!%)DT%dmgr@|qU1Okb-)o2+C2O*+l8tizUT++jX@gaP zsbrKY8{uCMffekm_}TpUjPCQ35}KwvC$y9r|YJw)bG{*rnnq`IYn|1pygmBX7qFl0CrXNm< z;*~qW$gAUhlnL2Lk40I7<)Q*fC8MoYRn^`r_|4%*mHv-rNzJzD6ElJ22s_HNCe0kw zqX|)xFlQ_6QIdRUu{xsgx!fp3bHMA1R1DKFL4(5#ES;&$(kQDDI#(O(uwoYkDm^Zp zu<^{p_bH@Hl?9a=`QM%x8#hy$8CI5g5d77M*rmdbkodC*s@&RrW}f7YwTVewAlEUi zJ1l5yFR{R6G4G0~JcUhKwp7-gQ0>LPQsU2b&%Hh-Ck?+CN`k5FQf6TtsuFbkI-2VJ zTQUr;KPeHFq3Eey9O#2?KlvB-x2+hFn(PgNdx$^LJyJPh>qb&UvXdO(38s994yPkz zl0jm7y`o@j{QzPwjG@*6`jv#TEV^P{iCyfS`9r2sl&B-%2yecI3wl&TT{W0-w^M`` z@RxwvJTH*dji?66wfVGV*bVR(zl@z6u-Nv;pj&Z}yL4TT&^ZeS@Gk~C-aFHsi~)DQ z=qceWCvPoAIQzJ@Xwq0*AKz@m>j4ZlmV|ftaGEpkAxlm4K^UNiUvU^yB&g>(wjq)) z=^SSlUBB2`#J;pa0<&F*oVuzvFRODDQ}mS6p*3fK*#3cN9V~>^!&l1EVwr5(&*!E{ z;HbqVUzLF*hsOCLS;=Kc|4J9!b)^Gn_lqFps1s84-Yu13$q0xZK$CG%VGWmBO#dne z!PyY*yZZ7CAM^5{r9hMX0A=Ah;X$o6g07?GcM@CXaB>u^FJK|8U~UJ~bc~rJR6lJp z5lnlg6t?u<%|)A#j7k1MJ?*i4SnuCZ|3A(xuqg z%gNi(l##Yuy19?$YQ=A&<)`;_&n0_ zRP5l>aybud0lP2FxGD>w>$f&6TNWDFW6L?X^{{|MWwnD2fU|0AX5~S$s`ZT?#+2;k z<}<9}=&c=g8hE%TsQ*JR0OKF5?2UdU3-eT_(p2FPEv(tRBo!zoAYIW$^A*N-V%5d1 zwcpfZ_75>e$zjbuhI2wyu(>D$u?>mTHK&t~&4>&~(>TE8LNES<1mjSN0j(bT+aVKfJp?lMfe2sFDSpS8+DDr2%>qj^jHQ zp=vk2x5`Gtqx@ibcN3rMdtyJlgMTTY@wbPkC$)I_8-tA-CyMW-O&5CsqIvVGdSpBN z6(1W*hy|XDfF+j=Fbl~eRcdqwKX;|xDCQ{FJr(5kCbuKLY{I{78}X(WP*wDYxoQNv zY@%}u*GOzsNKn-Dl?7+^j&39*V#H%2=;d58M$&Yj`MxpO&B*;52A9`U?3$aSCh1g0 z!N-P+f@qnZ6O4|iFL(6FP>!mX8)d)3JE6`d4f8JmZ;Z+`89Q~CYmtE3Mr01WxfxX# z(dJL3xX$;(mR})igO0ave#LyKXjs!AdYosFE&mD(&7}oa!feq$0Kp(;pu1)lxvRZs z@OZKltkg|9kR4Fh#L+A7uX76!|M zU`TcIlvxxpE=$@Ku$|nu{nar2nDoqvJ+xUC^1&6q&Rf>g3WgoywYKo zegTTV8+{JuO(z>*RSQ=v$xJCDzx62ChdC$P8A`zL8sTo|5GunQdG=D^H8-vZN}|#_ zsx}7C+L?`(x=+^2hU|jBROpe<)T!MsoCtM$;R*^jiOJtRwl7LePgPCQ{qsacxRp5! zBwyLw&lvi>PyROYR!0fDfcvX5ZhdWjJTg-aAt-Q5#|QE8+AL{#9mZfI`V|eHs|;zw zqCT2q%iU3QHf)a~!g*xzX|?FlIVceg_x`$LW`OO@>KrGc|^Y zGR{6W62rfBpQ;S1muUc9b~&6z9vFhudxeCk?>zL$;VYj%w&MuZOgd(q=(r|1Rqi1Z zQ>xHpivX)c#->sa`na}?4hX|k1D{Jj?@3L%?8H0m8>53W*F*@+wl*t2UwG2mxVKoo zZmts|Ku7+Ep$!y6g8wkY@@q7gKftD)DKc#&$)B?xwZ6Vk{g=~fUS+BuZSLN#geb%k zWJ#tUZ7Tn3Kfx&hOxU+Xu3NVA?K=~_dIxB?k4rQ2oXoB17R2ulHu!`vkI!ZZoLZ`X z`FE8Sb^Pb8Qm@T>1?)vQm&R5JvCvK^VQ($J91{+RQ+uyC8;=hMn*+0wE@_c()uSxB zRd~{#zF2iEuzfFQGy5uhQ8mYEr=M_gT)kMq5CrDV#)Nu01Tc)YX)`5YWj@nYZa^B_ z{S?{B-V$70!zAwwjL2}VEXfuUPIRW_b~`GZJ$5N6RkZ$xPO6$XH`MN6-=-69#AM&+ z#m~D^!m?+3lXRO(kvty$Ww7IGHJy)Yj1`O>hLsD+SZt`3DWZPmh*D+6r0XQ8k;Sz6 z={W(`?uyqKHkd*IM=CTWmA&x285A4mCzDz5+9F0>SSALZ{gmXhL`CrU zEP209eC&z4@gK``oh=JQ${zG`u_`~rnZM`yI@~mHNiN2j|6eqh)byphUC;w=3spmW z*~s85J!9-$ZCHYf1H?5h>X6#y5j!T^SEhcJ&z%GyuGW6}`~J-N{+CS1u3_pNV%QZL z*o}D^6%MKF9iCwIpe@WjkAk#(oz-iJf^5G965!i#sTP4QRmH2A8+g`gwi9a6Gb>YT z)qZ@C;U6qy=dT=?spwZ^q9Lj?*#O&i{DQkQhWt?VuOL*|5yl%FFI5)5VcL$%XtCuO zjqplYQouw_SO+RxRw8ai!ukePDYk$oFUTXK^3K-MfT&A z3eg?R@AhHf(2o>#2iOB*?C*!P*W0yn*6ZEO!NvM~a;Ww%&mIIx+6zgj*q&pN9f%|ex+(~_vE>JAdjur`zC z+*Kdqnsr~(a=fF;%)GDTqC_eWRC{rtA<=3`+p_O;f}5dxc)N(v8Z-XL9lk|W-)V}h zr14FaMS6Q&@$1~MySJ-IxhYUNk+fUZnX#)@fv%o<9tmeoWZk{oE$@>dVpog;p%tA~ z17Xm%z^={KOu;n;GE2HBd*3w~n9YY0(%v|=oqJasY{Aab`iYRwwRKL1$4I7MM|2X% z-Jv!w1~g*Mhvm<X2T;`)4#Un8#7pl2dfySazG~$dt;!9GX?8i6D3p zk-^7L+M_!?8Gae5{^=_r5VG_rHiC3@gl!ok;Df9~8zGgzUl|vKim*opyWrvP_ii|t z8v*vMI<@gXz~1+mXmJ!dOL#A3JHFG>V0&gdKE{{j0!RS*W(C$Egau!}+5{wEQ%~{F zmZf7_6R`no{(g^7gPFcRzThnKHVDopoYP`ifLL^NLrfeK*DR26F$R+sJ_OgE?;pjN zOUB5aZterFqFI~1nFru_5Z=4CA!B@j*^3_=9%M5m72US0PuEHrp1{*6_IPf?PepCP z@`+Uo8-bH77ERm+j0C3Os-Up{GB$DNiq;Qv_4&x%Pkd@8B8&KlrbY#Dp*-GW@%m|F z%06zOf`+ZvD9s#P0M4m|56bCdZ3NsDJygw)hZP&%eW7CF3s#tY{VdDq4>kt9Pz%mk zgPO5RVs3?pQ8!}UQM^RyRAkTSX|BGzYX9bzynUm#Qf~Q8r<7u>(v+1HuJA*r0FuYf zulpfWIdWWWXY!H^EH!XY9+{8*lf>i_Ct>5PaV%kC#?z}|O_NCw0!vHJ&gRukSi{a# z|L{;8oXwZDsiwU=(#8V}90|aJrUp_H?%AU*JpoNyv!6$$@Ib#VdlbY3l8Odfbw9BG zKP$c|62kHn+`BwrJKb7tWh7%$^#jv$F)2U75`~Iv0zB7FPcb?9Q9;G}v1-79iSK4K z3x)sxY3q?1@Ig`bo}0zuBZt0CDB%tkSRGXBG123M_nDqa@h@{ot+i2U zQa_h1IO3TT%o}vST58FDxh)5pU-x8df3;yYdf&)?^>2RB+7G>4Cu>DF>^u4QSMkk! z#O7LW;86!IrXdH;12@$QFfuSmVclHo7ZBp1mr|6I+imE7*Z{b*_P6Nv4OidIO;#_} zViU;-UC}CH!w`K-LNh2zrDOT3zi(v>HW=Lf{jOI32CGi~?XzXw`G;qG_+nrZ+aESr zFH|X1>{|Ssolj!z{AOzVhJBX&o#*vqN`J+CcbEP**}>P^E((Nih_T)FP%UnL$l7N& zfT!^v*ZC*IpZQDTo6xT<@_ctI+NM?*GXpydv(v=l6^oL$C^luxdZZq6@RsnJla4DQ zsw&bRf9X!rDtWr^;Tz`KSUB2*fUfQwb^F9KsT>Kw9CLGdj(3{XLqS?T*Q`Bpp z?ED=a?u%~6-HLmBeCDrDUylBq{r&y*_u<#uzrNqS`f~T_P{)kj%x|ME?pR$^{-^3s zWpN6#=8v+ydv;i^k?*&^zWw;?(%z|$U2b)L&^ofsruOT@KW~2iyLtca$#*BKK7KvF z{&n(u`^b8kyt??>*AG8^c>C)3(XVemt@3MLIfNu3m$L#@C zE21yR<#3mB`%bHUt!S3Coaa{4cLiIUs5o}r^(*z#kKNr>lhn6v<9X|8O<6NFRz)j` z_Ijy1WtcLvyj&yL=ISZy=CO)nj#4N~VALy-9Zv#T12?-rJhgIh$Fr5e55GR->ooEB z=<{HjHQRTOBZ48qoGl*|9=9i&hR5RRl1o9d)7WyxF%|4T{=^Oq#sdb)cAHD*r}n_}fH z>MOS-dF~Rf?K*2U<}KaY{^A;&>TQSSBioJ(eh*{z|8H?Ev&+%a->~J0CZF!-i_B)$ z%x2pEOC$B%CmdD~*qd(<`^VAoP41`uR9oe6#_BiWyoa)GP7};oQgU*p=1d2xrQz$v zxTI#rJhF+F*p$}IaQ1EO*WXO0JJRbJoj);geq<|N({k>NTx(mNA4qy z%9AgT@2om6Jz?f-b(cr;wm%k+VE_5*>cR=eHx&ZfKfH<*;JLK(2=kLOd`$Bv?wNHn z;7Y=_kSXW*+BR)%Y2N*K>SntImam0f_D%C?Sv%>_!^on7YsXX%mdu@-a^ud*kU7R% zA1>K1sucf7ASQ$c$>51;g28NI}a?9E6d*$Kk2`)>Wz!8B7xrol3H#neN>+RU2H;R`Tg@hCpLUi zm|vq{-Ldz-6))HEI)#V(WW={PbClfr*!1#4^Wu9F8iKrCc)Q!##2Az_;R^4_T%pwrMxDZJ*nO|N8nQUaaX=ov(7~C?(IfssLiq2Y6r>y00m7#sG=HiBs=AKk9)j~h26FflN!WCxvtgKU?U z19rP$r{a)fTb|3tenwy!EGrJgD7GDO!fqS<)CY2`%Tjr@+X`6gbpt&pk76BzJ2vZF zQ_@p`VOdg4ZfJ5h8cEe1U|+{BWD4SU8oHMWV__OvDgJ_CJ<*0 VD;p>`asuHs;JH)B{edZw0RZ@1P-*}G literal 0 HcmV?d00001 diff --git a/pcbs/analog_board_v0.22/analog_board_v0.22-cache.lib b/pcbs/analog_board_v0.22/analog_board_v0.22-cache.lib index ff73cf0..5f572c2 100644 --- a/pcbs/analog_board_v0.22/analog_board_v0.22-cache.lib +++ b/pcbs/analog_board_v0.22/analog_board_v0.22-cache.lib @@ -407,6 +407,19 @@ X 3 3 0 -150 50 U 50 50 1 1 P ENDDRAW ENDDEF # +# PWR_FLAG +# +DEF PWR_FLAG #FLG 0 0 N N 1 F P +F0 "#FLG" 0 75 50 H I C CNN +F1 "PWR_FLAG" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +X pwr 1 0 0 0 U 50 50 0 0 w +P 6 0 1 0 0 0 0 50 -40 75 0 100 40 75 0 50 N +ENDDRAW +ENDDEF +# # Q_NMOS_GSD # DEF Q_NMOS_GSD Q 0 0 Y N 1 F N diff --git a/pcbs/analog_board_v0.22/analog_board_v0.22.bak b/pcbs/analog_board_v0.22/analog_board_v0.22.bak index a69cb11..23bc08e 100644 --- a/pcbs/analog_board_v0.22/analog_board_v0.22.bak +++ b/pcbs/analog_board_v0.22/analog_board_v0.22.bak @@ -94,40 +94,29 @@ F7 "LED_CONTROLL" I L 7675 3025 60 F8 "-3.3V" O R 9375 3825 60 $EndSheet $Comp -L +3.3V #PWR01 -U 1 1 59551FE0 -P 4475 3125 -F 0 "#PWR01" H 4475 2975 50 0001 C CNN -F 1 "+3.3V" H 4475 3265 50 0000 C CNN -F 2 "" H 4475 3125 50 0001 C CNN -F 3 "" H 4475 3125 50 0001 C CNN - 1 4475 3125 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4825 3125 4475 3125 -$Comp -L GND #PWR02 +L GND #PWR01 U 1 1 595520F8 P 4475 3225 -F 0 "#PWR02" H 4475 2975 50 0001 C CNN +F 0 "#PWR01" H 4475 2975 50 0001 C CNN F 1 "GND" H 4475 3075 50 0000 C CNN F 2 "" H 4475 3225 50 0001 C CNN F 3 "" H 4475 3225 50 0001 C CNN 1 4475 3225 1 0 0 -1 $EndComp +Wire Wire Line + 4125 3225 4475 3225 Wire Wire Line 4475 3225 4825 3225 $Comp -L +5V #PWR03 +L +5V #PWR02 U 1 1 59552732 -P 4475 2875 -F 0 "#PWR03" H 4475 2725 50 0001 C CNN -F 1 "+5V" H 4475 3015 50 0000 C CNN -F 2 "" H 4475 2875 50 0001 C CNN -F 3 "" H 4475 2875 50 0001 C CNN - 1 4475 2875 +P 4475 2850 +F 0 "#PWR02" H 4475 2700 50 0001 C CNN +F 1 "+5V" H 4475 2990 50 0000 C CNN +F 2 "" H 4475 2850 50 0001 C CNN +F 3 "" H 4475 2850 50 0001 C CNN + 1 4475 2850 1 0 0 -1 $EndComp Wire Wire Line @@ -136,6 +125,8 @@ Wire Wire Line 4625 3025 4625 2875 Wire Wire Line 4625 2875 4475 2875 +Wire Wire Line + 4475 2875 4125 2875 Wire Wire Line 7675 3025 6525 3025 Wire Wire Line @@ -206,10 +197,10 @@ Wire Wire Line Wire Wire Line 1375 3175 1850 3175 $Comp -L +3.3V #PWR04 +L +3.3V #PWR03 U 1 1 59593859 P 1500 3025 -F 0 "#PWR04" H 1500 2875 50 0001 C CNN +F 0 "#PWR03" H 1500 2875 50 0001 C CNN F 1 "+3.3V" H 1500 3165 50 0000 C CNN F 2 "" H 1500 3025 50 0001 C CNN F 3 "" H 1500 3025 50 0001 C CNN @@ -228,4 +219,47 @@ Wire Wire Line 4525 4375 4525 3825 Wire Wire Line 4525 3825 4825 3825 +$Comp +L PWR_FLAG #FLG04 +U 1 1 5960DA74 +P 4125 2875 +F 0 "#FLG04" H 4125 2950 50 0001 C CNN +F 1 "PWR_FLAG" H 4125 3025 50 0000 C CNN +F 2 "" H 4125 2875 50 0001 C CNN +F 3 "" H 4125 2875 50 0001 C CNN + 1 4125 2875 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4125 2875 4125 2875 +Connection ~ 4475 2875 +Wire Wire Line + 4475 2850 4475 2875 +Wire Wire Line + 4475 3100 4475 3125 +$Comp +L +3.3V #PWR05 +U 1 1 59551FE0 +P 4475 3100 +F 0 "#PWR05" H 4475 2950 50 0001 C CNN +F 1 "+3.3V" H 4475 3240 50 0000 C CNN +F 2 "" H 4475 3100 50 0001 C CNN +F 3 "" H 4475 3100 50 0001 C CNN + 1 4475 3100 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4475 3125 4825 3125 +$Comp +L PWR_FLAG #FLG? +U 1 1 5960F53C +P 4125 3225 +F 0 "#FLG?" H 4125 3300 50 0001 C CNN +F 1 "PWR_FLAG" H 4125 3375 50 0000 C CNN +F 2 "" H 4125 3225 50 0001 C CNN +F 3 "" H 4125 3225 50 0001 C CNN + 1 4125 3225 + 1 0 0 -1 +$EndComp +Connection ~ 4475 3225 $EndSCHEMATC diff --git a/pcbs/analog_board_v0.22/analog_board_v0.22.kicad_pcb b/pcbs/analog_board_v0.22/analog_board_v0.22.kicad_pcb index 503cc22..2a6a855 100644 --- a/pcbs/analog_board_v0.22/analog_board_v0.22.kicad_pcb +++ b/pcbs/analog_board_v0.22/analog_board_v0.22.kicad_pcb @@ -3,7 +3,7 @@ (general (links 287) (no_connects 0) - (area 68.642858 46.15 215.357144 148.850001) + (area 62.150001 46.15 215.357144 157.700001) (thickness 1.6) (drawings 54) (tracks 368) @@ -45,7 +45,7 @@ ) (setup - (last_trace_width 0.4) + (last_trace_width 0.25) (user_trace_width 0.4) (trace_clearance 0.2) (zone_clearance 0.381) diff --git a/pcbs/analog_board_v0.22/analog_board_v0.22.kicad_pcb-bak b/pcbs/analog_board_v0.22/analog_board_v0.22.kicad_pcb-bak index 6b0b2b6..d4dc914 100644 --- a/pcbs/analog_board_v0.22/analog_board_v0.22.kicad_pcb-bak +++ b/pcbs/analog_board_v0.22/analog_board_v0.22.kicad_pcb-bak @@ -5,7 +5,7 @@ (no_connects 0) (area 104.949999 84.949999 185.050001 135.050001) (thickness 1.6) - (drawings 52) + (drawings 54) (tracks 368) (zones 0) (modules 224) @@ -45,7 +45,7 @@ ) (setup - (last_trace_width 0.4) + (last_trace_width 0.25) (user_trace_width 0.4) (trace_clearance 0.2) (zone_clearance 0.381) @@ -4552,6 +4552,30 @@ ) ) + (dimension 50 (width 0.3) (layer Dwgs.User) + (gr_text "50,000 mm" (at 68.65 110 270) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (feature1 (pts (xy 105 135) (xy 67.3 135))) + (feature2 (pts (xy 105 85) (xy 67.3 85))) + (crossbar (pts (xy 70 85) (xy 70 135))) + (arrow1a (pts (xy 70 135) (xy 69.413579 133.873496))) + (arrow1b (pts (xy 70 135) (xy 70.586421 133.873496))) + (arrow2a (pts (xy 70 85) (xy 69.413579 86.126504))) + (arrow2b (pts (xy 70 85) (xy 70.586421 86.126504))) + ) + (dimension 80 (width 0.3) (layer Dwgs.User) + (gr_text "80,000 mm" (at 145 156.35) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (feature1 (pts (xy 185 135) (xy 185 157.7))) + (feature2 (pts (xy 105 135) (xy 105 157.7))) + (crossbar (pts (xy 105 155) (xy 185 155))) + (arrow1a (pts (xy 185 155) (xy 183.873496 155.586421))) + (arrow1b (pts (xy 185 155) (xy 183.873496 154.413579))) + (arrow2a (pts (xy 105 155) (xy 106.126504 155.586421))) + (arrow2b (pts (xy 105 155) (xy 106.126504 154.413579))) + ) (gr_text <1 (at 132.334 119.126) (layer F.SilkS) (effects (font (size 1.5 1.5) (thickness 0.3))) ) diff --git a/pcbs/analog_board_v0.22/analog_board_v0.22.net b/pcbs/analog_board_v0.22/analog_board_v0.22.net index c251e5a..a196456 100644 --- a/pcbs/analog_board_v0.22/analog_board_v0.22.net +++ b/pcbs/analog_board_v0.22/analog_board_v0.22.net @@ -1,7 +1,7 @@ (export (version D) (design (source /home/maximilian/UppSense/pcbs/analog_board_v0.22/analog_board_v0.22.sch) - (date "tor 6 jul 2017 17:50:31") + (date "lör 8 jul 2017 14:24:40") (tool "Eeschema 4.0.6-e0-6349~52~ubuntu17.04.1") (sheet (number 1) (name /) (tstamps /) (title_block @@ -239,7 +239,7 @@ (value 100n) (footprint Resistors_SMD:R_0805) (fields - (field (name Farnell) 2502703)) + (field (name Farnell) 499687)) (libsource (lib device) (part C)) (sheetpath (names /sensitiveReadout/) (tstamps /5940AE3E/)) (tstamp 5958E511)) @@ -835,167 +835,167 @@ (pins (pin (num 1) (name ~) (type passive))))) (libraries - (library (logical ad8616) - (uri /home/maximilian/UppSense/pcbs/analog_board_v0.22/schematicSymb/ad8616.lib)) + (library (logical device) + (uri /usr/share/kicad/library/device.lib)) + (library (logical transistors) + (uri /usr/share/kicad/library/transistors.lib)) + (library (logical conn) + (uri /usr/share/kicad/library/conn.lib)) (library (logical icl7660) (uri /home/maximilian/UppSense/pcbs/analog_board_v0.22/schematicSymb/icl7660.lib)) + (library (logical ad8616) + (uri /home/maximilian/UppSense/pcbs/analog_board_v0.22/schematicSymb/ad8616.lib)) (library (logical ada4522-2) (uri /home/maximilian/UppSense/pcbs/analog_board_v0.22/schematicSymb/ada4522-2.lib)) (library (logical ltc6268) - (uri /home/maximilian/UppSense/pcbs/analog_board_v0.2/schematicSymb/ltc6268.lib)) - (library (logical conn) - (uri /usr/share/kicad/library/conn.lib)) - (library (logical device) - (uri /usr/share/kicad/library/device.lib)) - (library (logical transistors) - (uri /usr/share/kicad/library/transistors.lib))) + (uri /home/maximilian/UppSense/pcbs/analog_board_v0.2/schematicSymb/ltc6268.lib))) (nets - (net (code 1) (name /interface/GPIO4) - (node (ref Q8) (pin 1)) - (node (ref GPIO4) (pin 1)) - (node (ref Q7) (pin 1)) - (node (ref J2) (pin 6))) - (net (code 2) (name /interface/DAC2) - (node (ref J2) (pin 2)) - (node (ref TP1) (pin 1))) - (net (code 3) (name /interface/I2C_SDA) + (net (code 1) (name /interface/DAC2) + (node (ref TP1) (pin 1)) + (node (ref J2) (pin 2))) + (net (code 2) (name /highCurrentPart/-3.3V) + (node (ref U2) (pin 4)) + (node (ref C13) (pin 1)) + (node (ref C10) (pin 1)) + (node (ref C15) (pin 1)) + (node (ref C2) (pin 1)) + (node (ref C3) (pin 1)) + (node (ref C18) (pin 1)) + (node (ref 3V3) (pin 1)) + (node (ref U3) (pin 5)) + (node (ref U1) (pin 5)) + (node (ref C1) (pin 1))) + (net (code 3) (name /interface/ADC3) + (node (ref J1) (pin 3)) + (node (ref R6) (pin 2)) + (node (ref C16) (pin 2))) + (net (code 4) (name /interface/ADC2) + (node (ref J1) (pin 6)) + (node (ref R5) (pin 2)) + (node (ref C11) (pin 2))) + (net (code 5) (name /interface/ADC1) + (node (ref C8) (pin 2)) + (node (ref R2) (pin 2)) + (node (ref J1) (pin 4))) + (net (code 6) (name /interface/I2C_SDA) (node (ref J2) (pin 7)) (node (ref I2C2) (pin 1))) - (net (code 4) (name /interface/I2C_SCL) + (net (code 7) (name /interface/I2C_SCL) (node (ref J2) (pin 9)) (node (ref I2C1) (pin 1))) - (net (code 5) (name /interface/ADC1) - (node (ref C8) (pin 2)) - (node (ref J1) (pin 4)) - (node (ref R2) (pin 2))) - (net (code 6) (name /interface/GPIO3) - (node (ref GPIO3) (pin 1)) - (node (ref Q3) (pin 1)) + (net (code 8) (name /interface/GPIO4) + (node (ref J2) (pin 6)) + (node (ref Q8) (pin 1)) + (node (ref GPIO4) (pin 1)) + (node (ref Q7) (pin 1))) + (net (code 9) (name /interface/GPIO3) (node (ref Q4) (pin 1)) + (node (ref Q3) (pin 1)) + (node (ref GPIO3) (pin 1)) (node (ref J2) (pin 8))) - (net (code 7) (name /interface/GPIO2) - (node (ref Q6) (pin 1)) - (node (ref GPIO2) (pin 1)) - (node (ref Q5) (pin 1)) - (node (ref J2) (pin 10))) - (net (code 8) (name /interface/GPIO1) - (node (ref J2) (pin 12)) - (node (ref GPIO1) (pin 1)) - (node (ref Q1) (pin 1)) - (node (ref Q2) (pin 1))) - (net (code 9) (name /interface/DAC1) - (node (ref P2) (pin 3)) - (node (ref J2) (pin 1))) - (net (code 10) (name +5V) - (node (ref Q5) (pin 2)) - (node (ref Q1) (pin 2)) - (node (ref J1) (pin 2)) - (node (ref Q3) (pin 2)) - (node (ref Q7) (pin 2)) - (node (ref D2) (pin 2)) - (node (ref R12) (pin 1)) - (node (ref R10) (pin 1))) - (net (code 11) (name +3V3) - (node (ref J2) (pin 13)) - (node (ref P1) (pin 1)) - (node (ref U3) (pin 8)) - (node (ref C19) (pin 1)) - (node (ref C20) (pin 1)) + (net (code 10) (name +3V3) (node (ref C21) (pin 1)) + (node (ref C20) (pin 1)) (node (ref U4) (pin 8)) + (node (ref C22) (pin 1)) (node (ref U2) (pin 8)) (node (ref C5) (pin 1)) (node (ref C6) (pin 1)) (node (ref C4) (pin 1)) - (node (ref U1) (pin 7)) (node (ref C12) (pin 1)) (node (ref C9) (pin 1)) (node (ref C14) (pin 1)) - (node (ref C22) (pin 1))) - (net (code 12) (name /highCurrentPart/-3.3V) - (node (ref C15) (pin 1)) - (node (ref C10) (pin 1)) - (node (ref C13) (pin 1)) - (node (ref U3) (pin 5)) - (node (ref U2) (pin 4)) - (node (ref 3V3) (pin 1)) - (node (ref C18) (pin 1)) - (node (ref U1) (pin 5)) - (node (ref C1) (pin 1)) - (node (ref C3) (pin 1)) - (node (ref C2) (pin 1))) - (net (code 13) (name /interface/ADC4) - (node (ref U4) (pin 7)) - (node (ref J1) (pin 5)) + (node (ref U3) (pin 8)) + (node (ref P1) (pin 1)) + (node (ref C19) (pin 1)) + (node (ref U1) (pin 7)) + (node (ref J2) (pin 13))) + (net (code 11) (name +5V) + (node (ref J1) (pin 2)) + (node (ref R12) (pin 1)) + (node (ref Q7) (pin 2)) + (node (ref R10) (pin 1)) + (node (ref Q5) (pin 2)) + (node (ref Q3) (pin 2)) + (node (ref D2) (pin 2)) + (node (ref Q1) (pin 2))) + (net (code 12) (name /interface/ADC4) (node (ref C23) (pin 2)) - (node (ref R13) (pin 2))) - (net (code 14) (name /interface/ADC3) - (node (ref C16) (pin 2)) - (node (ref J1) (pin 3)) - (node (ref R6) (pin 2))) - (net (code 15) (name /interface/ADC2) - (node (ref C11) (pin 2)) - (node (ref J1) (pin 6)) - (node (ref R5) (pin 2))) + (node (ref U4) (pin 7)) + (node (ref R13) (pin 2)) + (node (ref J1) (pin 5))) + (net (code 13) (name /interface/GPIO1) + (node (ref Q2) (pin 1)) + (node (ref GPIO1) (pin 1)) + (node (ref J2) (pin 12)) + (node (ref Q1) (pin 1))) + (net (code 14) (name /interface/GPIO2) + (node (ref GPIO2) (pin 1)) + (node (ref Q6) (pin 1)) + (node (ref J2) (pin 10)) + (node (ref Q5) (pin 1))) + (net (code 15) (name /interface/DAC1) + (node (ref P2) (pin 3)) + (node (ref J2) (pin 1))) (net (code 16) (name GND) - (node (ref C1) (pin 2)) - (node (ref C19) (pin 2)) + (node (ref U4) (pin 4)) + (node (ref C16) (pin 1)) (node (ref R8) (pin 2)) - (node (ref R3) (pin 2)) + (node (ref C2) (pin 2)) (node (ref C3) (pin 2)) - (node (ref J2) (pin 11)) + (node (ref C1) (pin 2)) (node (ref R14) (pin 1)) - (node (ref C20) (pin 2)) - (node (ref J1) (pin 1)) - (node (ref C11) (pin 1)) - (node (ref C13) (pin 2)) - (node (ref C10) (pin 2)) - (node (ref C15) (pin 2)) + (node (ref U1) (pin 3)) (node (ref J4) (pin 1)) + (node (ref J2) (pin 11)) + (node (ref J1) (pin 1)) + (node (ref C12) (pin 2)) + (node (ref R3) (pin 2)) (node (ref C5) (pin 2)) (node (ref C6) (pin 2)) - (node (ref U1) (pin 3)) (node (ref C4) (pin 2)) - (node (ref C2) (pin 2)) (node (ref D1) (pin 2)) (node (ref C14) (pin 2)) - (node (ref C22) (pin 2)) (node (ref C8) (pin 1)) - (node (ref C12) (pin 2)) (node (ref C9) (pin 2)) + (node (ref C11) (pin 1)) + (node (ref C13) (pin 2)) + (node (ref C10) (pin 2)) + (node (ref C15) (pin 2)) (node (ref R9) (pin 2)) - (node (ref D3) (pin 2)) - (node (ref Q8) (pin 2)) + (node (ref C19) (pin 2)) + (node (ref C22) (pin 2)) (node (ref Q6) (pin 2)) - (node (ref C18) (pin 2)) - (node (ref U3) (pin 6)) - (node (ref Q4) (pin 2)) - (node (ref U3) (pin 3)) + (node (ref Q2) (pin 2)) + (node (ref D3) (pin 2)) (node (ref RV1) (pin 3)) + (node (ref Q8) (pin 2)) (node (ref C21) (pin 2)) - (node (ref Q2) (pin 2)) - (node (ref C16) (pin 1)) + (node (ref Q4) (pin 2)) + (node (ref U3) (pin 6)) + (node (ref C20) (pin 2)) (node (ref R11) (pin 2)) - (node (ref U4) (pin 5)) - (node (ref U4) (pin 4))) + (node (ref U3) (pin 3)) + (node (ref C18) (pin 2)) + (node (ref U4) (pin 5))) (net (code 17) (name "Net-(P2-Pad2)") - (node (ref P2) (pin 2)) - (node (ref RV1) (pin 2))) + (node (ref RV1) (pin 2)) + (node (ref P2) (pin 2))) (net (code 18) (name "Net-(C17-Pad2)") - (node (ref C17) (pin 2)) - (node (ref U3) (pin 4))) + (node (ref U3) (pin 4)) + (node (ref C17) (pin 2))) (net (code 19) (name "Net-(C23-Pad1)") (node (ref D3) (pin 1)) (node (ref R13) (pin 1)) (node (ref C23) (pin 1)) (node (ref U4) (pin 6))) (net (code 20) (name "Net-(R10-Pad2)") - (node (ref R10) (pin 2)) - (node (ref RV1) (pin 1))) + (node (ref RV1) (pin 1)) + (node (ref R10) (pin 2))) (net (code 21) (name "Net-(P2-Pad1)") - (node (ref R12) (pin 2)) + (node (ref P2) (pin 1)) (node (ref R11) (pin 1)) - (node (ref P2) (pin 1))) + (node (ref R12) (pin 2))) (net (code 22) (name "Net-(Q9-Pad1)") (node (ref U4) (pin 1)) (node (ref Q9) (pin 1))) @@ -1003,9 +1003,9 @@ (node (ref D2) (pin 1)) (node (ref Q9) (pin 3))) (net (code 24) (name "Net-(Q9-Pad2)") - (node (ref R9) (pin 1)) (node (ref Q9) (pin 2)) - (node (ref U4) (pin 2))) + (node (ref U4) (pin 2)) + (node (ref R9) (pin 1))) (net (code 25) (name "Net-(P1-Pad3)") (node (ref P1) (pin 3))) (net (code 26) (name "Net-(U3-Pad7)") @@ -1017,47 +1017,47 @@ (node (ref C17) (pin 1)) (node (ref U3) (pin 2))) (net (code 29) (name COIL_3) + (node (ref Q3) (pin 3)) (node (ref J3) (pin 3)) - (node (ref Q4) (pin 3)) - (node (ref Q3) (pin 3))) + (node (ref Q4) (pin 3))) (net (code 30) (name COIL_4) - (node (ref Q7) (pin 3)) + (node (ref Q8) (pin 3)) (node (ref J3) (pin 4)) - (node (ref Q8) (pin 3))) + (node (ref Q7) (pin 3))) (net (code 31) (name COIL_1) - (node (ref Q1) (pin 3)) + (node (ref Q2) (pin 3)) (node (ref J3) (pin 1)) - (node (ref Q2) (pin 3))) + (node (ref Q1) (pin 3))) (net (code 32) (name COIL_2) - (node (ref J3) (pin 2)) (node (ref Q6) (pin 3)) + (node (ref J3) (pin 2)) (node (ref Q5) (pin 3))) (net (code 33) (name Signal) (node (ref P3) (pin 1)) - (node (ref P3) (pin 2)) (node (ref P3) (pin 3)) - (node (ref U4) (pin 3)) - (node (ref SIG1) (pin 1))) + (node (ref SIG1) (pin 1)) + (node (ref P3) (pin 2)) + (node (ref U4) (pin 3))) (net (code 34) (name "Net-(R3-Pad1)") - (node (ref R4) (pin 1)) + (node (ref R3) (pin 1)) (node (ref U2) (pin 2)) - (node (ref R3) (pin 1))) + (node (ref R4) (pin 1))) (net (code 35) (name "Net-(C7-Pad1)") + (node (ref R15) (pin 2)) (node (ref R2) (pin 1)) - (node (ref U2) (pin 3)) - (node (ref U1) (pin 6)) (node (ref C7) (pin 1)) + (node (ref U1) (pin 6)) (node (ref U2) (pin 5)) - (node (ref R15) (pin 2))) + (node (ref U2) (pin 3))) (net (code 36) (name "Net-(R7-Pad1)") (node (ref U2) (pin 6)) - (node (ref R7) (pin 1)) - (node (ref R8) (pin 1))) + (node (ref R8) (pin 1)) + (node (ref R7) (pin 1))) (net (code 37) (name "Net-(C7-Pad2)") + (node (ref C7) (pin 2)) (node (ref D1) (pin 1)) (node (ref R1) (pin 1)) - (node (ref U1) (pin 2)) - (node (ref C7) (pin 2))) + (node (ref U1) (pin 2))) (net (code 38) (name "Net-(R1-Pad2)") (node (ref R15) (pin 1)) (node (ref R14) (pin 2)) @@ -1067,8 +1067,8 @@ (node (ref R7) (pin 2)) (node (ref U2) (pin 7))) (net (code 40) (name "Net-(R4-Pad2)") - (node (ref U2) (pin 1)) (node (ref R5) (pin 1)) + (node (ref U2) (pin 1)) (node (ref R4) (pin 2))) (net (code 41) (name "Net-(U1-Pad8)") (node (ref U1) (pin 8))) diff --git a/pcbs/analog_board_v0.22/analog_board_v0.22.sch b/pcbs/analog_board_v0.22/analog_board_v0.22.sch index a69cb11..2005899 100644 --- a/pcbs/analog_board_v0.22/analog_board_v0.22.sch +++ b/pcbs/analog_board_v0.22/analog_board_v0.22.sch @@ -94,23 +94,10 @@ F7 "LED_CONTROLL" I L 7675 3025 60 F8 "-3.3V" O R 9375 3825 60 $EndSheet $Comp -L +3.3V #PWR01 -U 1 1 59551FE0 -P 4475 3125 -F 0 "#PWR01" H 4475 2975 50 0001 C CNN -F 1 "+3.3V" H 4475 3265 50 0000 C CNN -F 2 "" H 4475 3125 50 0001 C CNN -F 3 "" H 4475 3125 50 0001 C CNN - 1 4475 3125 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4825 3125 4475 3125 -$Comp -L GND #PWR02 +L GND #PWR01 U 1 1 595520F8 P 4475 3225 -F 0 "#PWR02" H 4475 2975 50 0001 C CNN +F 0 "#PWR01" H 4475 2975 50 0001 C CNN F 1 "GND" H 4475 3075 50 0000 C CNN F 2 "" H 4475 3225 50 0001 C CNN F 3 "" H 4475 3225 50 0001 C CNN @@ -118,24 +105,24 @@ F 3 "" H 4475 3225 50 0001 C CNN 1 0 0 -1 $EndComp Wire Wire Line - 4475 3225 4825 3225 + 4125 3225 4825 3225 $Comp -L +5V #PWR03 +L +5V #PWR02 U 1 1 59552732 -P 4475 2875 -F 0 "#PWR03" H 4475 2725 50 0001 C CNN -F 1 "+5V" H 4475 3015 50 0000 C CNN -F 2 "" H 4475 2875 50 0001 C CNN -F 3 "" H 4475 2875 50 0001 C CNN - 1 4475 2875 +P 4475 2850 +F 0 "#PWR02" H 4475 2700 50 0001 C CNN +F 1 "+5V" H 4475 2990 50 0000 C CNN +F 2 "" H 4475 2850 50 0001 C CNN +F 3 "" H 4475 2850 50 0001 C CNN + 1 4475 2850 1 0 0 -1 $EndComp Wire Wire Line 4825 3025 4625 3025 Wire Wire Line - 4625 3025 4625 2875 + 4625 2875 4625 3025 Wire Wire Line - 4625 2875 4475 2875 + 4125 2875 4625 2875 Wire Wire Line 7675 3025 6525 3025 Wire Wire Line @@ -206,10 +193,10 @@ Wire Wire Line Wire Wire Line 1375 3175 1850 3175 $Comp -L +3.3V #PWR04 +L +3.3V #PWR03 U 1 1 59593859 P 1500 3025 -F 0 "#PWR04" H 1500 2875 50 0001 C CNN +F 0 "#PWR03" H 1500 2875 50 0001 C CNN F 1 "+3.3V" H 1500 3165 50 0000 C CNN F 2 "" H 1500 3025 50 0001 C CNN F 3 "" H 1500 3025 50 0001 C CNN @@ -228,4 +215,45 @@ Wire Wire Line 4525 4375 4525 3825 Wire Wire Line 4525 3825 4825 3825 +$Comp +L PWR_FLAG #FLG04 +U 1 1 5960DA74 +P 4125 2875 +F 0 "#FLG04" H 4125 2950 50 0001 C CNN +F 1 "PWR_FLAG" H 4125 3025 50 0000 C CNN +F 2 "" H 4125 2875 50 0001 C CNN +F 3 "" H 4125 2875 50 0001 C CNN + 1 4125 2875 + 1 0 0 -1 +$EndComp +Connection ~ 4475 2875 +Wire Wire Line + 4475 2850 4475 2875 +Wire Wire Line + 4475 3100 4475 3125 +$Comp +L +3.3V #PWR05 +U 1 1 59551FE0 +P 4475 3100 +F 0 "#PWR05" H 4475 2950 50 0001 C CNN +F 1 "+3.3V" H 4475 3240 50 0000 C CNN +F 2 "" H 4475 3100 50 0001 C CNN +F 3 "" H 4475 3100 50 0001 C CNN + 1 4475 3100 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4475 3125 4825 3125 +$Comp +L PWR_FLAG #FLG06 +U 1 1 5960F53C +P 4125 3225 +F 0 "#FLG06" H 4125 3300 50 0001 C CNN +F 1 "PWR_FLAG" H 4125 3375 50 0000 C CNN +F 2 "" H 4125 3225 50 0001 C CNN +F 3 "" H 4125 3225 50 0001 C CNN + 1 4125 3225 + 1 0 0 -1 +$EndComp +Connection ~ 4475 3225 $EndSCHEMATC diff --git a/pcbs/analog_board_v0.22/highCurrentPart.bak b/pcbs/analog_board_v0.22/highCurrentPart.bak index c9ccdb3..8129850 100644 --- a/pcbs/analog_board_v0.22/highCurrentPart.bak +++ b/pcbs/analog_board_v0.22/highCurrentPart.bak @@ -49,10 +49,10 @@ Comment3 "" Comment4 "" $EndDescr $Comp -L GND #PWR018 +L GND #PWR019 U 1 1 5940A4E3 P 8150 3325 -F 0 "#PWR018" H 8150 3075 50 0001 C CNN +F 0 "#PWR019" H 8150 3075 50 0001 C CNN F 1 "GND" H 8150 3175 50 0000 C CNN F 2 "" H 8150 3325 50 0000 C CNN F 3 "" H 8150 3325 50 0000 C CNN @@ -96,10 +96,10 @@ F 4 "1045425" H 9725 3025 60 0001 C CNN "Farnell" 0 -1 1 0 $EndComp $Comp -L GND #PWR019 +L GND #PWR020 U 1 1 5940A554 P 9725 3325 -F 0 "#PWR019" H 9725 3075 50 0001 C CNN +F 0 "#PWR020" H 9725 3075 50 0001 C CNN F 1 "GND" H 9725 3175 50 0000 C CNN F 2 "" H 9725 3325 50 0000 C CNN F 3 "" H 9725 3325 50 0000 C CNN @@ -150,10 +150,10 @@ Wire Wire Line Wire Wire Line 6775 2050 6775 2150 $Comp -L +5V #PWR020 +L +5V #PWR021 U 1 1 5940A5DC P 6775 1675 -F 0 "#PWR020" H 6775 1525 50 0001 C CNN +F 0 "#PWR021" H 6775 1525 50 0001 C CNN F 1 "+5V" H 6775 1815 50 0000 C CNN F 2 "" H 6775 1675 50 0000 C CNN F 3 "" H 6775 1675 50 0000 C CNN @@ -163,10 +163,10 @@ $EndComp Wire Wire Line 6775 1675 6775 1750 $Comp -L GND #PWR021 +L GND #PWR022 U 1 1 5940A5E4 P 6775 3350 -F 0 "#PWR021" H 6775 3100 50 0001 C CNN +F 0 "#PWR022" H 6775 3100 50 0001 C CNN F 1 "GND" H 6775 3200 50 0000 C CNN F 2 "" H 6775 3350 50 0000 C CNN F 3 "" H 6775 3350 50 0000 C CNN @@ -194,10 +194,10 @@ Wire Wire Line Text GLabel 7350 2750 0 60 Input ~ 0 Signal $Comp -L GND #PWR022 +L GND #PWR023 U 1 1 5940A601 P 7675 3925 -F 0 "#PWR022" H 7675 3675 50 0001 C CNN +F 0 "#PWR023" H 7675 3675 50 0001 C CNN F 1 "GND" H 7675 3775 50 0000 C CNN F 2 "" H 7675 3925 50 0000 C CNN F 3 "" H 7675 3925 50 0000 C CNN @@ -211,10 +211,10 @@ Wire Wire Line Text GLabel 9825 4450 2 60 Output ~ 0 Signal $Comp -L +5V #PWR023 +L +5V #PWR024 U 1 1 5940A60A P 8450 3925 -F 0 "#PWR023" H 8450 3775 50 0001 C CNN +F 0 "#PWR024" H 8450 3775 50 0001 C CNN F 1 "+5V" H 8450 4065 50 0000 C CNN F 2 "" H 8450 3925 50 0000 C CNN F 3 "" H 8450 3925 50 0000 C CNN @@ -231,10 +231,10 @@ Wire Wire Line 6900 2775 6900 2550 Connection ~ 6775 2775 $Comp -L GND #PWR024 +L GND #PWR025 U 1 1 5940EF10 P 8900 3325 -F 0 "#PWR024" H 8900 3075 50 0001 C CNN +F 0 "#PWR025" H 8900 3075 50 0001 C CNN F 1 "GND" H 8900 3175 50 0000 C CNN F 2 "" H 8900 3325 50 0000 C CNN F 3 "" H 8900 3325 50 0000 C CNN @@ -283,10 +283,10 @@ Wire Wire Line 7350 1600 8150 1600 Connection ~ 7600 1600 $Comp -L GND #PWR025 +L GND #PWR026 U 1 1 594122F3 P 7350 1975 -F 0 "#PWR025" H 7350 1725 50 0001 C CNN +F 0 "#PWR026" H 7350 1725 50 0001 C CNN F 1 "GND" H 7350 1825 50 0000 C CNN F 2 "" H 7350 1975 50 0000 C CNN F 3 "" H 7350 1975 50 0000 C CNN @@ -376,10 +376,10 @@ F 4 "2447551" V 8900 4125 60 0001 C CNN "Farnell" 0 1 1 0 $EndComp $Comp -L +5V #PWR026 +L +5V #PWR027 U 1 1 5941842E P 9075 4125 -F 0 "#PWR026" H 9075 3975 50 0001 C CNN +F 0 "#PWR027" H 9075 3975 50 0001 C CNN F 1 "+5V" H 9075 4265 50 0000 C CNN F 2 "" H 9075 4125 50 0000 C CNN F 3 "" H 9075 4125 50 0000 C CNN @@ -391,10 +391,10 @@ Wire Wire Line Wire Wire Line 8600 4125 8750 4125 $Comp -L GND #PWR027 +L GND #PWR028 U 1 1 5941869D P 8225 4125 -F 0 "#PWR027" H 8225 3875 50 0001 C CNN +F 0 "#PWR028" H 8225 3875 50 0001 C CNN F 1 "GND" H 8225 3975 50 0000 C CNN F 2 "" H 8225 4125 50 0000 C CNN F 3 "" H 8225 4125 50 0000 C CNN @@ -419,10 +419,10 @@ Constant current with ca. 4.55 mA. Text HLabel 9000 1875 1 60 Output ~ 0 BPW34_OUT $Comp -L GND #PWR028 +L GND #PWR029 U 1 1 59553CC6 P 3000 1800 -F 0 "#PWR028" H 3000 1550 50 0001 C CNN +F 0 "#PWR029" H 3000 1550 50 0001 C CNN F 1 "GND" H 3000 1650 50 0000 C CNN F 2 "" H 3000 1800 50 0001 C CNN F 3 "" H 3000 1800 50 0001 C CNN @@ -474,10 +474,10 @@ $EndComp Wire Wire Line 2375 5675 2375 5925 $Comp -L GND #PWR029 +L GND #PWR030 U 1 1 59557860 P 2375 6425 -F 0 "#PWR029" H 2375 6175 50 0001 C CNN +F 0 "#PWR030" H 2375 6175 50 0001 C CNN F 1 "GND" H 2375 6275 50 0000 C CNN F 2 "" H 2375 6425 50 0000 C CNN F 3 "" H 2375 6425 50 0000 C CNN @@ -487,10 +487,10 @@ $EndComp Wire Wire Line 2375 6325 2375 6425 $Comp -L +5V #PWR030 +L +5V #PWR031 U 1 1 59557DF9 P 2375 5200 -F 0 "#PWR030" H 2375 5050 50 0001 C CNN +F 0 "#PWR031" H 2375 5050 50 0001 C CNN F 1 "+5V" H 2375 5340 50 0000 C CNN F 2 "" H 2375 5200 50 0000 C CNN F 3 "" H 2375 5200 50 0000 C CNN @@ -513,10 +513,10 @@ Connection ~ 1950 5800 Wire Wire Line 4025 5675 4025 5925 $Comp -L GND #PWR031 +L GND #PWR032 U 1 1 59558304 P 4025 6425 -F 0 "#PWR031" H 4025 6175 50 0001 C CNN +F 0 "#PWR032" H 4025 6175 50 0001 C CNN F 1 "GND" H 4025 6275 50 0000 C CNN F 2 "" H 4025 6425 50 0000 C CNN F 3 "" H 4025 6425 50 0000 C CNN @@ -526,10 +526,10 @@ $EndComp Wire Wire Line 4025 6325 4025 6425 $Comp -L +5V #PWR032 +L +5V #PWR033 U 1 1 5955830B P 4025 5200 -F 0 "#PWR032" H 4025 5050 50 0001 C CNN +F 0 "#PWR033" H 4025 5050 50 0001 C CNN F 1 "+5V" H 4025 5340 50 0000 C CNN F 2 "" H 4025 5200 50 0000 C CNN F 3 "" H 4025 5200 50 0000 C CNN @@ -604,10 +604,10 @@ $EndComp Wire Wire Line 2375 3975 2375 4225 $Comp -L GND #PWR033 +L GND #PWR034 U 1 1 5956291A P 2375 4725 -F 0 "#PWR033" H 2375 4475 50 0001 C CNN +F 0 "#PWR034" H 2375 4475 50 0001 C CNN F 1 "GND" H 2375 4575 50 0000 C CNN F 2 "" H 2375 4725 50 0000 C CNN F 3 "" H 2375 4725 50 0000 C CNN @@ -617,10 +617,10 @@ $EndComp Wire Wire Line 2375 4625 2375 4725 $Comp -L +5V #PWR034 +L +5V #PWR035 U 1 1 59562921 P 2375 3500 -F 0 "#PWR034" H 2375 3350 50 0001 C CNN +F 0 "#PWR035" H 2375 3350 50 0001 C CNN F 1 "+5V" H 2375 3640 50 0000 C CNN F 2 "" H 2375 3500 50 0000 C CNN F 3 "" H 2375 3500 50 0000 C CNN @@ -643,10 +643,10 @@ Connection ~ 1950 4100 Wire Wire Line 4025 3975 4025 4225 $Comp -L GND #PWR035 +L GND #PWR036 U 1 1 59562931 P 4025 4725 -F 0 "#PWR035" H 4025 4475 50 0001 C CNN +F 0 "#PWR036" H 4025 4475 50 0001 C CNN F 1 "GND" H 4025 4575 50 0000 C CNN F 2 "" H 4025 4725 50 0000 C CNN F 3 "" H 4025 4725 50 0000 C CNN @@ -656,10 +656,10 @@ $EndComp Wire Wire Line 4025 4625 4025 4725 $Comp -L +5V #PWR036 +L +5V #PWR037 U 1 1 59562938 P 4025 3500 -F 0 "#PWR036" H 4025 3350 50 0001 C CNN +F 0 "#PWR037" H 4025 3350 50 0001 C CNN F 1 "+5V" H 4025 3640 50 0000 C CNN F 2 "" H 4025 3500 50 0000 C CNN F 3 "" H 4025 3500 50 0000 C CNN @@ -704,10 +704,10 @@ Wire Wire Line Text HLabel 9000 4550 0 60 Input ~ 0 LED_CONTROLL $Comp -L GND #PWR037 +L GND #PWR038 U 1 1 59563916 P 1850 1800 -F 0 "#PWR037" H 1850 1550 50 0001 C CNN +F 0 "#PWR038" H 1850 1550 50 0001 C CNN F 1 "GND" H 1850 1650 50 0000 C CNN F 2 "" H 1850 1800 50 0001 C CNN F 3 "" H 1850 1800 50 0001 C CNN @@ -725,10 +725,10 @@ Wire Wire Line Wire Wire Line 2000 2025 2000 1950 $Comp -L +3.3V #PWR038 +L +3.3V #PWR039 U 1 1 59563EC0 P 3000 1425 -F 0 "#PWR038" H 3000 1275 50 0001 C CNN +F 0 "#PWR039" H 3000 1275 50 0001 C CNN F 1 "+3.3V" H 3000 1565 50 0000 C CNN F 2 "" H 3000 1425 50 0001 C CNN F 3 "" H 3000 1425 50 0001 C CNN @@ -756,10 +756,10 @@ Wire Wire Line Wire Wire Line 2900 1950 2900 2100 $Comp -L GND #PWR039 +L GND #PWR040 U 1 1 5956469D P 2900 2425 -F 0 "#PWR039" H 2900 2175 50 0001 C CNN +F 0 "#PWR040" H 2900 2175 50 0001 C CNN F 1 "GND" H 2900 2275 50 0000 C CNN F 2 "" H 2900 2425 50 0001 C CNN F 3 "" H 2900 2425 50 0001 C CNN @@ -802,10 +802,10 @@ F 3 "" H 1450 1225 50 0000 C CNN -1 0 0 -1 $EndComp $Comp -L +3.3V #PWR040 +L +3.3V #PWR041 U 1 1 595680C2 P 1825 1125 -F 0 "#PWR040" H 1825 975 50 0001 C CNN +F 0 "#PWR041" H 1825 975 50 0001 C CNN F 1 "+3.3V" H 1825 1265 50 0000 C CNN F 2 "" H 1825 1125 50 0001 C CNN F 3 "" H 1825 1125 50 0001 C CNN @@ -941,10 +941,10 @@ Wire Wire Line Text Notes 8325 1200 0 60 ~ 0 Low-pass has a cut-off freq. of approx. 1.6 Hz, ergo DC. $Comp -L +3.3V #PWR041 +L +3.3V #PWR042 U 1 1 595BE0DA P 8150 1525 -F 0 "#PWR041" H 8150 1375 50 0001 C CNN +F 0 "#PWR042" H 8150 1375 50 0001 C CNN F 1 "+3.3V" H 8150 1665 50 0000 C CNN F 2 "" H 8150 1525 50 0001 C CNN F 3 "" H 8150 1525 50 0001 C CNN diff --git a/pcbs/analog_board_v0.22/highCurrentPart.sch b/pcbs/analog_board_v0.22/highCurrentPart.sch index c9ccdb3..753a4f2 100644 --- a/pcbs/analog_board_v0.22/highCurrentPart.sch +++ b/pcbs/analog_board_v0.22/highCurrentPart.sch @@ -49,10 +49,10 @@ Comment3 "" Comment4 "" $EndDescr $Comp -L GND #PWR018 +L GND #PWR020 U 1 1 5940A4E3 P 8150 3325 -F 0 "#PWR018" H 8150 3075 50 0001 C CNN +F 0 "#PWR020" H 8150 3075 50 0001 C CNN F 1 "GND" H 8150 3175 50 0000 C CNN F 2 "" H 8150 3325 50 0000 C CNN F 3 "" H 8150 3325 50 0000 C CNN @@ -96,10 +96,10 @@ F 4 "1045425" H 9725 3025 60 0001 C CNN "Farnell" 0 -1 1 0 $EndComp $Comp -L GND #PWR019 +L GND #PWR021 U 1 1 5940A554 P 9725 3325 -F 0 "#PWR019" H 9725 3075 50 0001 C CNN +F 0 "#PWR021" H 9725 3075 50 0001 C CNN F 1 "GND" H 9725 3175 50 0000 C CNN F 2 "" H 9725 3325 50 0000 C CNN F 3 "" H 9725 3325 50 0000 C CNN @@ -150,10 +150,10 @@ Wire Wire Line Wire Wire Line 6775 2050 6775 2150 $Comp -L +5V #PWR020 +L +5V #PWR022 U 1 1 5940A5DC P 6775 1675 -F 0 "#PWR020" H 6775 1525 50 0001 C CNN +F 0 "#PWR022" H 6775 1525 50 0001 C CNN F 1 "+5V" H 6775 1815 50 0000 C CNN F 2 "" H 6775 1675 50 0000 C CNN F 3 "" H 6775 1675 50 0000 C CNN @@ -163,10 +163,10 @@ $EndComp Wire Wire Line 6775 1675 6775 1750 $Comp -L GND #PWR021 +L GND #PWR023 U 1 1 5940A5E4 P 6775 3350 -F 0 "#PWR021" H 6775 3100 50 0001 C CNN +F 0 "#PWR023" H 6775 3100 50 0001 C CNN F 1 "GND" H 6775 3200 50 0000 C CNN F 2 "" H 6775 3350 50 0000 C CNN F 3 "" H 6775 3350 50 0000 C CNN @@ -194,10 +194,10 @@ Wire Wire Line Text GLabel 7350 2750 0 60 Input ~ 0 Signal $Comp -L GND #PWR022 +L GND #PWR024 U 1 1 5940A601 P 7675 3925 -F 0 "#PWR022" H 7675 3675 50 0001 C CNN +F 0 "#PWR024" H 7675 3675 50 0001 C CNN F 1 "GND" H 7675 3775 50 0000 C CNN F 2 "" H 7675 3925 50 0000 C CNN F 3 "" H 7675 3925 50 0000 C CNN @@ -211,10 +211,10 @@ Wire Wire Line Text GLabel 9825 4450 2 60 Output ~ 0 Signal $Comp -L +5V #PWR023 +L +5V #PWR025 U 1 1 5940A60A P 8450 3925 -F 0 "#PWR023" H 8450 3775 50 0001 C CNN +F 0 "#PWR025" H 8450 3775 50 0001 C CNN F 1 "+5V" H 8450 4065 50 0000 C CNN F 2 "" H 8450 3925 50 0000 C CNN F 3 "" H 8450 3925 50 0000 C CNN @@ -231,10 +231,10 @@ Wire Wire Line 6900 2775 6900 2550 Connection ~ 6775 2775 $Comp -L GND #PWR024 +L GND #PWR026 U 1 1 5940EF10 P 8900 3325 -F 0 "#PWR024" H 8900 3075 50 0001 C CNN +F 0 "#PWR026" H 8900 3075 50 0001 C CNN F 1 "GND" H 8900 3175 50 0000 C CNN F 2 "" H 8900 3325 50 0000 C CNN F 3 "" H 8900 3325 50 0000 C CNN @@ -283,10 +283,10 @@ Wire Wire Line 7350 1600 8150 1600 Connection ~ 7600 1600 $Comp -L GND #PWR025 +L GND #PWR027 U 1 1 594122F3 P 7350 1975 -F 0 "#PWR025" H 7350 1725 50 0001 C CNN +F 0 "#PWR027" H 7350 1725 50 0001 C CNN F 1 "GND" H 7350 1825 50 0000 C CNN F 2 "" H 7350 1975 50 0000 C CNN F 3 "" H 7350 1975 50 0000 C CNN @@ -376,10 +376,10 @@ F 4 "2447551" V 8900 4125 60 0001 C CNN "Farnell" 0 1 1 0 $EndComp $Comp -L +5V #PWR026 +L +5V #PWR028 U 1 1 5941842E P 9075 4125 -F 0 "#PWR026" H 9075 3975 50 0001 C CNN +F 0 "#PWR028" H 9075 3975 50 0001 C CNN F 1 "+5V" H 9075 4265 50 0000 C CNN F 2 "" H 9075 4125 50 0000 C CNN F 3 "" H 9075 4125 50 0000 C CNN @@ -391,10 +391,10 @@ Wire Wire Line Wire Wire Line 8600 4125 8750 4125 $Comp -L GND #PWR027 +L GND #PWR029 U 1 1 5941869D P 8225 4125 -F 0 "#PWR027" H 8225 3875 50 0001 C CNN +F 0 "#PWR029" H 8225 3875 50 0001 C CNN F 1 "GND" H 8225 3975 50 0000 C CNN F 2 "" H 8225 4125 50 0000 C CNN F 3 "" H 8225 4125 50 0000 C CNN @@ -419,10 +419,10 @@ Constant current with ca. 4.55 mA. Text HLabel 9000 1875 1 60 Output ~ 0 BPW34_OUT $Comp -L GND #PWR028 +L GND #PWR030 U 1 1 59553CC6 P 3000 1800 -F 0 "#PWR028" H 3000 1550 50 0001 C CNN +F 0 "#PWR030" H 3000 1550 50 0001 C CNN F 1 "GND" H 3000 1650 50 0000 C CNN F 2 "" H 3000 1800 50 0001 C CNN F 3 "" H 3000 1800 50 0001 C CNN @@ -474,10 +474,10 @@ $EndComp Wire Wire Line 2375 5675 2375 5925 $Comp -L GND #PWR029 +L GND #PWR031 U 1 1 59557860 P 2375 6425 -F 0 "#PWR029" H 2375 6175 50 0001 C CNN +F 0 "#PWR031" H 2375 6175 50 0001 C CNN F 1 "GND" H 2375 6275 50 0000 C CNN F 2 "" H 2375 6425 50 0000 C CNN F 3 "" H 2375 6425 50 0000 C CNN @@ -487,10 +487,10 @@ $EndComp Wire Wire Line 2375 6325 2375 6425 $Comp -L +5V #PWR030 +L +5V #PWR032 U 1 1 59557DF9 P 2375 5200 -F 0 "#PWR030" H 2375 5050 50 0001 C CNN +F 0 "#PWR032" H 2375 5050 50 0001 C CNN F 1 "+5V" H 2375 5340 50 0000 C CNN F 2 "" H 2375 5200 50 0000 C CNN F 3 "" H 2375 5200 50 0000 C CNN @@ -513,10 +513,10 @@ Connection ~ 1950 5800 Wire Wire Line 4025 5675 4025 5925 $Comp -L GND #PWR031 +L GND #PWR033 U 1 1 59558304 P 4025 6425 -F 0 "#PWR031" H 4025 6175 50 0001 C CNN +F 0 "#PWR033" H 4025 6175 50 0001 C CNN F 1 "GND" H 4025 6275 50 0000 C CNN F 2 "" H 4025 6425 50 0000 C CNN F 3 "" H 4025 6425 50 0000 C CNN @@ -526,10 +526,10 @@ $EndComp Wire Wire Line 4025 6325 4025 6425 $Comp -L +5V #PWR032 +L +5V #PWR034 U 1 1 5955830B P 4025 5200 -F 0 "#PWR032" H 4025 5050 50 0001 C CNN +F 0 "#PWR034" H 4025 5050 50 0001 C CNN F 1 "+5V" H 4025 5340 50 0000 C CNN F 2 "" H 4025 5200 50 0000 C CNN F 3 "" H 4025 5200 50 0000 C CNN @@ -604,10 +604,10 @@ $EndComp Wire Wire Line 2375 3975 2375 4225 $Comp -L GND #PWR033 +L GND #PWR035 U 1 1 5956291A P 2375 4725 -F 0 "#PWR033" H 2375 4475 50 0001 C CNN +F 0 "#PWR035" H 2375 4475 50 0001 C CNN F 1 "GND" H 2375 4575 50 0000 C CNN F 2 "" H 2375 4725 50 0000 C CNN F 3 "" H 2375 4725 50 0000 C CNN @@ -617,10 +617,10 @@ $EndComp Wire Wire Line 2375 4625 2375 4725 $Comp -L +5V #PWR034 +L +5V #PWR036 U 1 1 59562921 P 2375 3500 -F 0 "#PWR034" H 2375 3350 50 0001 C CNN +F 0 "#PWR036" H 2375 3350 50 0001 C CNN F 1 "+5V" H 2375 3640 50 0000 C CNN F 2 "" H 2375 3500 50 0000 C CNN F 3 "" H 2375 3500 50 0000 C CNN @@ -643,10 +643,10 @@ Connection ~ 1950 4100 Wire Wire Line 4025 3975 4025 4225 $Comp -L GND #PWR035 +L GND #PWR037 U 1 1 59562931 P 4025 4725 -F 0 "#PWR035" H 4025 4475 50 0001 C CNN +F 0 "#PWR037" H 4025 4475 50 0001 C CNN F 1 "GND" H 4025 4575 50 0000 C CNN F 2 "" H 4025 4725 50 0000 C CNN F 3 "" H 4025 4725 50 0000 C CNN @@ -656,10 +656,10 @@ $EndComp Wire Wire Line 4025 4625 4025 4725 $Comp -L +5V #PWR036 +L +5V #PWR038 U 1 1 59562938 P 4025 3500 -F 0 "#PWR036" H 4025 3350 50 0001 C CNN +F 0 "#PWR038" H 4025 3350 50 0001 C CNN F 1 "+5V" H 4025 3640 50 0000 C CNN F 2 "" H 4025 3500 50 0000 C CNN F 3 "" H 4025 3500 50 0000 C CNN @@ -704,10 +704,10 @@ Wire Wire Line Text HLabel 9000 4550 0 60 Input ~ 0 LED_CONTROLL $Comp -L GND #PWR037 +L GND #PWR039 U 1 1 59563916 P 1850 1800 -F 0 "#PWR037" H 1850 1550 50 0001 C CNN +F 0 "#PWR039" H 1850 1550 50 0001 C CNN F 1 "GND" H 1850 1650 50 0000 C CNN F 2 "" H 1850 1800 50 0001 C CNN F 3 "" H 1850 1800 50 0001 C CNN @@ -725,10 +725,10 @@ Wire Wire Line Wire Wire Line 2000 2025 2000 1950 $Comp -L +3.3V #PWR038 +L +3.3V #PWR040 U 1 1 59563EC0 P 3000 1425 -F 0 "#PWR038" H 3000 1275 50 0001 C CNN +F 0 "#PWR040" H 3000 1275 50 0001 C CNN F 1 "+3.3V" H 3000 1565 50 0000 C CNN F 2 "" H 3000 1425 50 0001 C CNN F 3 "" H 3000 1425 50 0001 C CNN @@ -756,10 +756,10 @@ Wire Wire Line Wire Wire Line 2900 1950 2900 2100 $Comp -L GND #PWR039 +L GND #PWR041 U 1 1 5956469D P 2900 2425 -F 0 "#PWR039" H 2900 2175 50 0001 C CNN +F 0 "#PWR041" H 2900 2175 50 0001 C CNN F 1 "GND" H 2900 2275 50 0000 C CNN F 2 "" H 2900 2425 50 0001 C CNN F 3 "" H 2900 2425 50 0001 C CNN @@ -802,10 +802,10 @@ F 3 "" H 1450 1225 50 0000 C CNN -1 0 0 -1 $EndComp $Comp -L +3.3V #PWR040 +L +3.3V #PWR042 U 1 1 595680C2 P 1825 1125 -F 0 "#PWR040" H 1825 975 50 0001 C CNN +F 0 "#PWR042" H 1825 975 50 0001 C CNN F 1 "+3.3V" H 1825 1265 50 0000 C CNN F 2 "" H 1825 1125 50 0001 C CNN F 3 "" H 1825 1125 50 0001 C CNN @@ -941,10 +941,10 @@ Wire Wire Line Text Notes 8325 1200 0 60 ~ 0 Low-pass has a cut-off freq. of approx. 1.6 Hz, ergo DC. $Comp -L +3.3V #PWR041 +L +3.3V #PWR043 U 1 1 595BE0DA P 8150 1525 -F 0 "#PWR041" H 8150 1375 50 0001 C CNN +F 0 "#PWR043" H 8150 1375 50 0001 C CNN F 1 "+3.3V" H 8150 1665 50 0000 C CNN F 2 "" H 8150 1525 50 0001 C CNN F 3 "" H 8150 1525 50 0001 C CNN diff --git a/pcbs/analog_board_v0.22/output/.~lock.analog_board_v0.22.csv# b/pcbs/analog_board_v0.22/output/.~lock.analog_board_v0.22.csv# deleted file mode 100644 index 775a792..0000000 --- a/pcbs/analog_board_v0.22/output/.~lock.analog_board_v0.22.csv# +++ /dev/null @@ -1 +0,0 @@ -,maximilian,ironhero,06.07.2017 18:16,file:///home/maximilian/.config/libreoffice/4; \ No newline at end of file diff --git a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-B.Cu.gbr b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-B.Cu.gbr index 4944567..f2026a7 100644 --- a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-B.Cu.gbr +++ b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-B.Cu.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Copper,L2,Bot,Signal* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Thu Jul 6 18:27:12 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:17:01 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-B.Mask.gbr b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-B.Mask.gbr index 026ba3a..118b9b3 100644 --- a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-B.Mask.gbr +++ b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-B.Mask.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Soldermask,Bot* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Thu Jul 6 18:27:12 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:17:02 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-B.SilkS.gbr b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-B.SilkS.gbr index 2dce5b1..3e45166 100644 --- a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-B.SilkS.gbr +++ b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-B.SilkS.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Legend,Bot* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Thu Jul 6 18:27:12 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:17:02 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-Edge.Cuts.gbr b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-Edge.Cuts.gbr index 79b66ca..01d6c4b 100644 --- a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-Edge.Cuts.gbr +++ b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-Edge.Cuts.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Profile,NP* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Thu Jul 6 18:27:12 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:17:02 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-F.Cu.gbr b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-F.Cu.gbr index 5d6c2cd..f5ba021 100644 --- a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-F.Cu.gbr +++ b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-F.Cu.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Copper,L1,Top,Signal* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Thu Jul 6 18:27:11 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:17:01 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-F.Mask.gbr b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-F.Mask.gbr index 97f2928..3f5adce 100644 --- a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-F.Mask.gbr +++ b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-F.Mask.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Soldermask,Top* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Thu Jul 6 18:27:12 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:17:02 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-F.SilkS.gbr b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-F.SilkS.gbr index 8d7755e..91d1623 100644 --- a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-F.SilkS.gbr +++ b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-F.SilkS.gbr @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Legend,Top* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Thu Jul 6 18:27:12 2017* +G04 Created by KiCad (PCBNEW 4.0.6-e0-6349~52~ubuntu17.04.1) date Sun Jul 9 19:17:02 2017* %MOMM*% %LPD*% G01* diff --git a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-NPTH.drl b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-NPTH.drl index 83fd5a3..94b30de 100644 --- a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-NPTH.drl +++ b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22-NPTH.drl @@ -1,5 +1,5 @@ M48 -;DRILL file {KiCad 4.0.6-e0-6349~52~ubuntu17.04.1} date Thu Jul 6 18:27:17 2017 +;DRILL file {KiCad 4.0.6-e0-6349~52~ubuntu17.04.1} date Sun Jul 9 19:17:05 2017 ;FORMAT={-:-/ absolute / metric / decimal} FMAT,2 METRIC,TZ diff --git a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22.drl b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22.drl index 9b31980..ad6a7b1 100644 --- a/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22.drl +++ b/pcbs/analog_board_v0.22/output/gerber/analog_board_v0.22.drl @@ -1,5 +1,5 @@ M48 -;DRILL file {KiCad 4.0.6-e0-6349~52~ubuntu17.04.1} date Thu Jul 6 18:27:17 2017 +;DRILL file {KiCad 4.0.6-e0-6349~52~ubuntu17.04.1} date Sun Jul 9 19:17:05 2017 ;FORMAT={-:-/ absolute / metric / decimal} FMAT,2 METRIC,TZ diff --git a/pcbs/analog_board_v0.22/output/gerber/gerber_analog_board_v0.22.zip b/pcbs/analog_board_v0.22/output/gerber/gerber_analog_board_v0.22.zip new file mode 100644 index 0000000000000000000000000000000000000000..f2edfeb020342f9778d770b8b554f5ecf7ee1d8b GIT binary patch literal 89815 zcmZ^JWmsLY)+X-mQsm%v@B*c{yByr5SaEmPgA{j)AKcyDDPAbxOx-B>M6ogph@)h7~I2wQ^6*rD-I(B(Y`rb995*dc+m4e{xNwSn-{ z7~kpbb0H-0tJTjy*#Ta zx#$VFF2jDGoBrs=L)=fH_t)El7B;{8{iDbSL+`6>Eupusq=F~YzLL|eRF#G*mZ`pk77#`X5zSvh~ zYQ8e`yhwQve);>VrR31{))x`*zJ$uwgXVqo-J8Jm%_WwPqW>vgh;Q&v{Aw${^PM}V z=;|=(jN4-t_o1=S8YjlxZ=_j9P5Dg?)W}LwI5Bp1+!H{b8sM`Ghh$HygO#YRsdUV^&0jo5Q$6JpE+ui8UNhPYtFJj9Cyayb z6}I=eutb}%KA(g!mlK*KgoT;{GG|e3i~)VkSW>sa&PPKPOEn-VwSKU-Q#^}=nopha zxny=sxGm7uys?WAHJ@=#XS{8wE=_a28!{tH3l@4rzz$PU}?lsJcB=O!y0Cy zOD662=vw{(f3G716wK1ox`V0ypSZ*m91p_O>7@`PpVui%Y zy&aRt^Zo=w?y;G|$x90m>1~3N{vqW{U{Xb^2Osx|c~iC!#EW1eU0funPjrcdd2;~I zh9X-DQ6~)r^a)M#!fL(PWD8KYCk&|)y%VCs7#i+PVfnW?&m_~G0nkPtBkC{jj;GW` zit-VaB`@kRX)U6FF!?}}K2ZH^k1y4Tk-aYvYs3OwNXnEF#$JYDH72DwA**YUPN~V_$9v{`89#h; zyRat5KDT)tr*r0-#CkPVcc=tK7XSGn_gB)P_sd0;`J~X%Jl#=fhHJB)&Z83j#4Mea zH`mNB1~3I(r^)w@7d87m>cDMM_(x*6zK)Tq-&Gz1k>6;%A`T>NO1kq(6-QMMP3 z<5%VnyIht$S+97?dQCCrdxXFzN=U)kS6C<3mC*XcVyon3N%c_MT!79LmU7#&)vO#v zp$S)ULI81z4lU0Kzyvmua!C6x2>AVC^8&JaWzN@{n~o#ss?K zGsj154>CiGf$RJ@2e=~z@mf54j~1`Cj2pTP`0S#xq(^jPF;Z2KOW{xKf^tO(uE$QR zIbV?sfaPFlB@Rg-hDE3u_qW^L3TLN=ZCsrR@PX2$!yzF5kK}}U+vc#>=SOdZEOk4L zzWsMy4dJG>wND)!A{lhztz>>mG8*gtplx$b?%nBl@J=hV!e6SWo%?l0l634yCRc3O z6{MiQ1{&zx*pz&;SdE<1gEYHTv@QpvN2Zi?BfqsM?Q?ZJpSVNJc|N+&Ny?U6;)q>c z5&A?$=X{@+Kxbh>3K)?o5fIpWv+MR;g#kbC(}us8t2z@JY4g5;ML z=9w|LN4M0kO&2;c{#P|Bo9Ah`JYi2e!zpj^q=vIB92td}R z&$inBI3HiVQpn^+97>ghLb)W_KCFY6s=>xsU!2I7a1I?8#AKk5i~g&?%c3LBE935h z?Gzl>rSA8Z+Oih2i<3RBBYNM0L?xj3@S3Kk;+A@r>y`2gGiM*iKcSU|V#ob2E?DC8 zo*_d9{PDx`C~VGPLvVXkQIXY;@(NHG+s=2IqrZd85GlKfAp*5I@Ip8dRh_&jexJBL z6In-T!?DzrW! zex9!?bOVsd*x)YEZvl2n12a@h5KHe)L@a(ORKDLft#M$bY)=3zW(}sFC<(1iLQ6o~ zXOzOW5Z{XV*&o06NeuH}s`&xo@|3GPqIYDFI1>ihWF-+5)l?hmY5`0#8&lv(0Sp+( z1ZAoUZM6U!rGY7(?M*ME4466v zvTVi9r{>fheNlh?#4^-c|9PZa02Okv3;}=ss%ny7#e2B8UkwDfyJ}; znI{#|)ONsqBI6#V&)#W1n54K=3B zGl>T9y}B*KPSq`-xGRs7Nrw$49hB;^)8BZPDFV^&ph+k}GhwSUNGIANq4HPiL|y&z zBndTVz&$-yvpnuexQMd~2Pb-p6H%VT=@{>F4XBQ}{MO7#e$A_GJns9Y%9#E;O&1P# zg9g!P1o-5NUvU>`0fa&IXGl>M|D?XBmiWaC7hm}l#Ll}ui0&W%C6;0YTlQButld8wvCN+oE#2}_g5k0X^X623jpKW#>Lv}tKBdbiq1XY{LeXrAddl#? zar};SYFP2VG40Vm#b2lr_B#TXU>0o~7Eg-+nI8BPuT@D-*|s>Nr3Rd`Dp|?Sqj!|P`@rlko%a-=3*BAqNRs@N$)~~@^+~Db5_9|dzA(ud^+2laDDed~ zCXF~jD^nHS>Wvs!HTZ%u^iMG2E<(KmxyvC+7Mm8$-)b(H(r>sb45yEJYG5nS>@FH3D5LtmSxJAki9<*dN$gFVD2}rU(HYYvD(g z8flEi`)dFUGBw=j8yvV+4QedmJ^s`3^t_5BV!QaK;xHl+n~s^c$rn4#(;@M!7Aa6UgQ>t~auQOXrW&!+ zNIBSk0e5PuqBiye1f+2Nn z!!y?@H!tH~7AR<*CZGx2%H&MMoRz4bvZa2Cr~E^lL^Kv*CSn^EvnOs%lVh41T7fqt zgc^~7${Me%GtrznBsb6aB^*j@yaQ~`&J&5&WY7xEh;TI1STneD8RAXMoFv>K$v16T zqsjIqWCbh`fr?ycP1W0F#da9TC6&Zm$AKkl{#VrrpjsJ4>^D+glj~%I(?=kXrpIlsQi0b{|&O$X9zUgU7NB&=eyjNf!aWu5Yx$;0uS)JYW*LruS>3M{-+AS%k6 zknu-0xpN*H5MDUUW$Lw4Qr2m}H!f5BC3L0ir`J#4aIkC*JDj7uE$6YqJ~v<7g(nLs ztLuSJ3ti!@k@lh<@E0Men8;BhIBdmt@d{N_jNgyKW6jp@RXoxCxA8vzoc&5NElNodWUBtiHHbut8sh$l#V5OtS?NwW*vB zQ$!$ozMte>hMVO>Vry_f4m|ZG*d3n26hy^I3zctRT4dNQaf%{Db5W^PBxNikz}%#3 zNv>%vq7c~`9KZ=~&fJ-<9Fp7|IebWOXGV38Zr;0+UlPvwQg#X27JbzA=-mDhg0$V3 z1JZb49LhuSFEZBh7YDTucF$SE903-xm(`-a#{hskHv1_=eGw4rRDVe~I0`B=3*{^9 z7aS+|Mx{Rd7n~#Rk|8{@KDM%EmB(>oIEo$MN>e>~UqmUmLunS<7wk%3f+uwe5Ylbs z=MTm_n(g<)g8=6SFkyU%DMUMC;zDzVEy20NmPkswToOjZ(pYS})P@ebyU#pA;VoCQ z-lPEXdvpcVF@gVdwj!akoKXr?T~hUylKG#tM4 zhYH0Hf?8sz;?Z8wD|3LD1K%E|g6N899aJ2(`B1v__G%dcocbsp;-S>aER;qrYQQj`1;vm+%#sRueqF&S}l5HbL zC(UnuH*H1<=&%?Mc_^ILefJAb!LO^=_%<@Awe$jmG`Ym|I|TUB!bPFPpW*t3@7Mpu zznu(3%*Q6NOJ<5+xBbnO|Lp>fB5{-T)S1URGd{V&|J)Y`K`x=J-yxmU^F<+@cRATlu?dDyC@4NV0mAE+(>JP>D$OR!efJvD^y&3u)CrYG~hW>cp$s zagw@RpFIZ;`AES5&RZ3If5{BDeHnL3Z*sl;D3%1i?b!X;eexOXr69?8hN{|<;xB+L z>|Q)+BJ^H9xr0SW1i7cVq`e>M4i0CLzJQvtDOq*rkV3v%D8n&UJ7kNQMcLec=xYf? zN=0~Gfl(la{_d|uZ))l3nOL|`JBg} z3J}|X7KnX_r1nk1CRFh2@noF9*AcIPqAFxTNJ_dcJkJmucUPF~Al@B!17Py>;Gg}y4U<>>W6$-61{48E4u9Wb_Ha2D~x>Rfnrw=dGxVkTYvbKKROXswe{S>W|uGd z$>ZuR6gn3vIkd23{JB!{vf^asEP~?vHFL!?s|@;MpztbV%xmUpqPLHYrp?-4RoPSSe;A!;b!Wr~zUpW8L<6KXEpDU-=-n z32z$kNob=apT135JOb{tjqW2azM|H)CxqTbgE#%{PwTXR12V@WUbCM|$Fy%xqHbB| z2VE*&S^mjhS3CcGEdPlc=D+e(=*G_sDjz-a_L}zL`yJLhwarXSFEBto>R;nC?qr~+ z>-;Z=w&}f+JuVxkS&H3;xFelLoa&|=ir;er$iMD>lcCl=b8K>7mC^qsQxgGJ0OlDC zK6e+hoQ;{Ffv*rZa%nB_Ple7%2t_muW2%;%p5zqC!g- zX!vlGNS-R%JWD@Gd6%ep{1@EG&pWfK>AqYup$f8GReDgse;h(C3X*T~?J0CrxJSj2&y0qU3T`j&6y2_ZUGv?dh;m4d`rxt@1OrpU*A)LkO*^qzJ@?rtKM$*@^Dqz0meZ+?+7!n}F5)>&!@c4Gx zF8v1M)V|+c&Riiufle*Nj#MFO z(oZ?_vO{E^v=%e}Pi$x3+Ms3oYO^rv%a;T4Cs?8#CRBcf{bP;hmkfMj-LJiST&v&N zqm+^Sb1@s;Pu>RT(A--UL_*ccs~MP!E*@mI55G*0D^2>P(%7zjL#(mOdV#L}{nobm zldmPT{4kY$XAQ?aR}YkPn5urSM3~8oxU;7Aq;L8{^M@hf-m%TTQ9>`LL#tB5Wt%itIs8f{R?4h>Z10q6Bp49 z6F;{triCTZ`6umFz$tb)NfiFLc=-r^%?zXJ`74y{-@pl$cbIlvctUN}DhibaFH!B} zZDKhULM-GHhRaZ(wv}TZv`y3clFOc`}pD;8S zh4QnCVE;~m*;<9>&gylIM>cE3B)vn)C<__~M{UeD_|MC|?)vU=1vs!DTn< z#7f8}J?$BUPu;`9ZyhL>pzQ_FUA<&^NWyqU1}Uq~R{R90Zt%VNYOUN;8%PK7e`=Pd zuH@=}KqE>JxA>EuD6y-;7;>!V!J}G7)svX$P!Kv7A@f~Yk)!xy+iL_fV#GhRMHhqF zMwzaI|9$p|NbG?+ZQa~u9_Q;DXW0p6Dmj7!;$A_MSTrvznN_Szpu8av=nj8PMz#$u z_|6|lV;Y>CxeTx9?jou(sTK5<`yD2=m$h}1j*j6cSb1}3NeyjdQcv4V@v7wtejz{} zW`PT~MEW!9tnh&+Lo$;980uP@TVVfodZm=}{bOyS>h8Ph<5tbCI!y*TuEyDfbH94( zC&Apkwpt)DE026G+o_{iM3X^nL5(5T#m(C}MwGEjf|iwi3{|okh9^_Vc*@i)5c*t@ z#Iw%o2nm7&|b8lt>XgG<()IzH9Zr!JyQ-M))cC z#}(Lfxgo;x_38N!AT{O1B?+1M|GbTyzky80duH4Q`Dfg!dga`fdFR}I*JH$;8lu0% zI8^yy02;4zl+T9k{eRP{lON@^P@K=@%zt)({)aZ&4Yxm)hkek-$p>xtouf#;uHnmF zeqeVAzx=+AS$$P*xetmJ$tS|4RKS6Bp5K9#`zEbg`?`p^`gsmtI=f@%)B4~-^n;{=ylK1%bz0M+ZBZaPz9_k`sox z_*Efwzkk=&bevU(ac44tfRCK_{AeN0#Qx21>$i}Atcw=s8s#4$DqSr9SFlWEipKZA zYozwR1J(vu@wMOPV?OPtJl?vwR(4354alD!UXMsa&q=jVI~?@0ArAV}HFD3lsy()P zCtx8-n-~|6mL_TKf~upYVefsYG{fwlMEKOjRHC4kR2G%amQc_I(4^Q$Ui)<_*CCQTVfay8t@P z`^f9xY$QT;x6r_y1Cf9G$oK1!q4Pa!o}&w@2XE>n#yq4#_M2!Af7CwPMJ&e2v^5W6 zH#C_+H}R7=Hu|l>lINf58~#J6DUlz1NR5B$qK)x%K$c(A>z{f^b!_BEsZK#%!adK2 zyW7Co?rf3xu&LVbBR_w;fdkv#GB|nxnS%$I$VWrU@C{Un0iU3YiqswG^amKgz9D7l zCMw*Xp)t^}OGJKHa|`CuaLcFY+{R~f_CW$!hqK0CdT8qE?Y^=0X~M9kQpM!FwaZ1w zi@f_$;7n5RP*p_5$aFth3bRPmmYq0ZaZ9Rz^j3y&W^)?tt|W$k{zfCno|IBh3%5_740Xz~6|EIk zn*19$aH^8u*jJeonXZfwDaIQS$=f(+pwuJqdRYPtE-Oq^PHR?F6L;~3S?CN}B5v0be zVR4DY?YE$?VH1JICGvYF+l3+`9QEj#mG(DCs9$;JiAo9 zMlX0`>MI`H2W-3Z;3b((v1O}!sMv%@g!tK0=>Af8H%X0BpZ2a^-uSl-u29OW0O)Nt zA_gRMN^w_KN5@%cTZJ6bHNbB~q(UFtblE<*1;?5IZSp{*Z==X8WpzQuXl)3}AVErO zGiW|@=w??!_75O!HkkSajR_jKx0U<~`Zl_-4z~qEo^ayp3iV95MdS?S4EMzG_#tb* zY$w=HA;wbw4hH+9h9XnRtm)1LI-z~(!wZdVjsq-iZ51GjK!7sNEP+1lU`J&_&Ke!r zLDV(9cGL-nH(9-4CP@G!p@ciS?b;U@M z0+z_>T!-lTKu^*70|YtoacCapWdw8NM0hYIslEn7k4l-kasQE>;{y$1AVv^T#Whw* zG7O4#3SyS}K6PTvcs7tpJr7Y@4v{m}!LeVY76EBgHo*rA-U6EfCu4V7`Je~^K^#Xz z@tb@oEQ<+ofV-$g__t3=qcX%)Xwq{BmA2tp*ygv4$=`$Z;%PpmiOdsoMYFs98>F_2 z&M4naRETF#<=T=*NXD}8By2sDI`EqQA#s^Qirpv33nQ6CYijQ#WP^dkIYbp-FTpie zOrsP3b5_I@T+pgw4^TkO>9?w+r3y?|+vBylK}w|UNs%g6d5cVyvy}egH|`*r9gLvloQJoB#-ZW~O@6_H8QxqG)txg@8OEZ1J7BK0}6^jvREZXoLGmqd0C;y zQ-PPGA^thhiaUOc8M4wzvyRwXlmr}UBJJhA2-JhT)Ir?qL`o&YS@nt z6K)HFVch1E)cT`QmcL4GS!CMzCmtW2rnxkl3i$M_*~K$Z(R#Y35Vt0h;$h!&n5_Dd z-avue?TaZ@ajbgC&aZ>wT$5LHcLi|oPXPaEZ&k6E7pwr0 zE(QSKZWQIA?691763 zLeVKs9{stZHgnm!tePg=pHRxACq@Nd%twow!oW9j#h;Maj;Wf{<_6t8y}|nWnZobB zpv!`7*yi4}+k$Py=ANq4g6;C#z1YeJ_CD~R{MHA0|9AdBx)s~)e=oN#&jd0WU(Ufg z{Tg0K#r5U3v-5cAJCQ7nL*T8i!)U#Ekni0`K=@Ue6|c8(q+FFe%mE8%UC#2X?%X6d0awh+wG zN1(4e&HdI;i}C6+3;0 zV*IT>_AdQq8o&w6mqC1$CRzu^qNW6MxQsq?y^TIlU_4>G5B6-Sohpz)YY(=e!eJL` z#~~b8L8}w**&A5Z?qN;b{6j{C#~8>WVl2S~$bs09;c>DH{s!scNX~qZathxj;J|s4 zfcnzXn)!?~#J&ZTjqFv^j_Kk-LlO+3{rQ2|2eePn-U#wD6vUJ#)Ue!MW`W=1(7?9| z&|?*dW;Nt0o!-5-$IvGgh^H|@@(jxwEurKt^dUP~qEn0nrJ6em2m)aPVRCqD8YOwy zQpf zgFDK9B!Uz!D%n!Hhg|IA+_{w~BeRfj2gZL8NCBb7Mts5kE7t$hWd zUKW4lKxMG@?`?ZW4~w1p{tDEXPbsDh3QdO6d&csBl4+N4fk!NsM_~PX`Zo1!@cl$d z(uS-GZR0<&%oZ#L+1x8yziuz62+6EMH-+3q41~Q}b378x!Nd@n<~$r4AhPk6`(%@q z;E6}kMGHa;FS-W@opu)j9M(7x+PF_mO0qpH5R0*-_D8RtjP#{4mT0p~%+?1d%@HJ- z83YH$bPvTS{WsQSLH7z8&&S&axuWLdDwP#aTR2=xS4u7(hz-y)vt&{i4^%fYDTT>~Y~) zP}yL{@3cYHxImN8OAQ8QUX)2-zDgVN#Tp!-z!?{Ixj#OIG!>U*uQ1_u*2f+r&Mh2O3 z8wVuA2=KUOOdd?vqY4{J<%6@-!+a|~wRfpzG+~EwDBC>idjG-5&gKZm{l?YCncd7T zAWbu877$0{9b%tIJH8x-Y$7le!rX@Xxsh>&JW{zHOD%mc>0LsvD>bl4myCGg?u_a6 zD{-QJB%=l-2EZGe`COY_-ERhHfZ??gn3hLdAAk6+(Nx_dY8~g zlAChpqwwxS&c}52yf_ii-DW(eMx?Q*)3i5{$xYr6#Yxc+HTEHOE*h-IzBrr3=}2aL zfTTsIiAgZmglOFoKjg1aMJwo{A&U8yvjQW-?`y%NAq8>em5xr&$Za}eiGY@~3|#bE zNAi{y(-HDLSp!MlMC(6se?D zImpJsjYEmbAWG?KOc!sVKJqz*G{bp@y@=YSxalAR1KwX}wy zF@t)r%26uTKD4s5b?rvh?WOl&@+vOqi;M9@d7k< zEZ>ND5xiNs&;@=+VmM^5rA~t>PQNCx3ptc$DW&OPXUK$1Md+w?Hgt)A{Gae03RviG^8Bv56)8U^WuJW9{$czvC(hir+8Q<`%Y#n+y~ zcsRdOM=Fr+Kn{dlSmmOl0Di46&1(`A7OuzY8m{ct!;*(gkD#=nFy;$P99$ZgP$W)dlU`4&xZ zFh?sX;Ps0#x*@tF9EdEdcy8LGgQy1;5Sph}3D)l@h3K#XLNp1-UXN!0Uy))+!@O_FLbB_m6MnC zF6sEs(bo%_whV^5^7Rmap16#E=4fe<^5kPaf|x*0!cFEBT_1AJbGLQ=mEZtkKFG)E zrkl;Sk8do8pyZcdW=J8y#kHZhZck4KrTWo~Y>BJwh3sJlp>y2uYK9}5r5`}5*>wnA zyy7kXz`^e0YV!ZV|JL=NJd$p^z5F=-z%}2Sdn}Bo!2BH*UECGmX5=yc=9};69f#1~ zJrRYoe+*`Z@Nh(<;cnpz2}RxFqhr@_#O`OI)0(So?;mkXHoi0$yRa_xdFeH(zw#bQ z@zht1ycv8L?J0+g$oR%N`X#e%D{JGU-g2pCwILf5sEloIQnxWne=@P*&xj^o%B5-8? z9B4C85!2ct@cto9>4a~t+ns^(JQRZ?BFUIZ5Z$L?q&Vqx#&?S)Z^2t56oJd zKjjeW&3lA1IeI9)sAB9u=;ipCIuG-Af|c|LRh-!;iQd7}>sYh;)hlrbrM(-wMjNY6 zUAl;cWxqkF=``O&1bdlDS^-)O>j82hBNW&Q>CNd9K;)ll- zyR#6sjl2<15WY_jNLHID^hK%*c9rW-d^f|&mygfbVlBv_%SxX4tLI&IG5ZN(kep-} zS#q;z^L!M4>?}P0GusT(rRUHpOPa+IsZnHQm6vXGUL#3Qw>Kh?!_uHsFd@Q zbHqVy_iXM}i_yIVNGkBqLg3$V(OKp76+C5Z?}(_jBzVj6IEkrN)Al6__MS_~J+c$~ zg&<<-YsJY>QU((h3aJv<=m|3P?ck(9Fzak3_kfKY4C5>TO-`2jkq$;{3P5Em5H`ouCeH-FymC9Y6(d{#X6!p3GvaoyV6?#Ifum&2 zE;v{CGZ@MUm!%D8PZu4!w=!Fxg~75V)=qY3th%NxnhItm(y5Va8WdV)1_otpMI*Q@ ze=Q$XzK`t}!*frvI|n1E8A2Fj^3$yDZh%&B(&cM|NGWTQ0XXJ`^T;eUz%mHkvoU0er zk&m--#n*BQ@MxbTzj0HPygA2h(7ZLl|}8X9yhAw zF%b6G)-5)$tI`&kI^IA%nljC*?*QUFMmegtx~bE{EZELfNJeHr+&Oai>354~Mid#B z|F~OsMiWfzm-d`5hfRob&Ucg*P2JI!%*Iht*bsR~#-pprDyxgiX}L{P8sV(CZ@jm3 z-*_9NvrcVxme9{&(5GlW9wQshRx5?>1tRz&kCN;3UL{v~l4)CmT}GE~vI~6vAQ_J@ zRoNGOoA!wQ6U>`Xci3V6F-cc5bF|-D+N0mh?7p>lk17}OxL0(<2tHL6>hhbNjwwe9 zp8V;5c+?-h1?roOH+55N@3hLMUN4e4{p#o0*{q&s#%2hz>Jvt0UG2^# zc!{&+;7?@7|G2r9iZ3T{9QVv!^ z#0C0izjw-BCD*s+jrC8#GzE;vR0Ub;k9Y}LP(5*c!rS=2hR82Gz>>$3!Si`eWnAg` zppWn*`6QZuqcGBKPn2(qq={?T!&1cvuDu7yiRdXntk(^8)am!P2=4Cn+tD%G5yd$1 zwb#}oC!{TPC$D1eMXSFcP5AyIf?@4prw|1$?l#S}iRWM0T<9UrV)_OtfD9bLh$iSb8QD{sJ&imcV2CVqzrQ6Y1DU*pHiB#O)# zdcTBDB+UZ{b=qJi4b!#A+~4uUnRl}eMNif<>)&4dTUZz}|BxP9uR!K&vU2$sSc~dWkHhH|_Ubs-k}4xP z)GAYnN*)D(mmQH+)e1W#)Cmn(`2xo@=Vk7RqbB8LLKTknrxFsaH`N{z3z#y9Ww6D>n+OY45 ziO*7a`Ii(_RY|XaaLx(EMwT0K0hrdF4y(vV=mm8Bt&IcwnQIEH^v;K?Y&*WL7M%n5 zcCupnZ654*=uC@dZVdMF1B!pIq_y)rYxxSXL-`!+uh39b!Xmnpr!cS+TY;gO6hWkD zZ?H2s?m<4hSAW;ApH4c64)#ceLbCFL3~?53&`W!gqW(UqgxEo#&Zu{DN_e?b&b=l3XrI!EE|X$}6#R^9kWsKp=JF_*HIGMrc+bS8HA=~9Jy zt2&0+!`!-+8O!bCrXSD5ih_z(9{)UkDUgwLsod=iAqr2FKAYEw$M|3kUpuG+Mr*&i zx3G;F3I&Xo7K5h#L77c-Z3bp8}6F%pI@TZ zK1@S2>I4xhJMBkce;;PxC+aORYkbYTuU&vW?hA}Lj-Ac7D`*?$xvi|U3#j$fD9qBD zz)*g@a`-8_Q%Fa%o!vps5~*N}7Pzu&JCAzp`LhA*rLBucsn0hBeqp_%B-Q}(3uTp` zaR#C{bf%jNp z@L!s$E9KmdJS$Q!?qD=aWvpetqc=emM1YL)`>9JbEraW=v^iU;p8p}6Bv>*;fqAqR znu#`A)p4M#ti9~8iu@GS%chWAiXN!hm1Rp&$>n1PkJb`lT4`tYQYjY@N9e*deej-xbL?(l1d~vgSe9}P zyNi7!ek*PDIPl8KK60Rqc0+yyTHQ;=4_-js%2~cYLJtS3g+{TBR%Z;z$YC!_+)BIu zQ9|zt+0B2&AUikLK)L;2$vXArOJfJ{3owT+M=14-p7{-KGq-J^O(gCB#vlc?5r)v} zKtIpjIY_ttf4K4g=OIFBH*J1E-2Zw=YQg{IAq!7_g8wfM$)JtD7~ObqL}r~?ZxzYf zg8`oeCu`~JcNOtgqLH$Pv_!;8EZ>zoPoeJNT-STU+Y>nq1RJA z>zgf&blc8GtsoBFGHRR_nwJ+9pAT3?{WX}6Va?cEB0(~L zp{Kv<({=|v2t0%1JL{=L{yosC{ng$HjF-QF7n}8l+MDwUx-E%hT-oLYmz*7WOLmJ3 z&izp6q1w#;$|;;jex#&Fp>oZPC2G$}RvTfJex0SDI_$tHsq8x8?__##>txsj`{x(2 z;7f&W@>PwsiBflY0s(raedeLugM!(6qX+$$>NOE8ks7=&QU@D>THWt%XawdU&gDNV zA{Rn$A&GtYOL#K|okO4J1*^S`cFxb-;?COuq_5q8tOGysKT-d}D^(xRb-LI{uc2)! zHOpLFn|40lJ=Xy?cc@;-2}kwTx~i4fJBIJ9y9U=QUWwLkp7?S~Nx^r443U-aCMh*M zQPrdhG1##2Oij?&EAdUj?g;cW&ix~XJF%)>sW>(tcNbDDcgx68F9`_yYxFkTbaE(Z z7rLJTK)QWiN|n!fKvd>xCg$kE5G>_M_*sI9LtLFVgpBoqG|)WDScB8&A?E7nsH3!2 zX~Y^zj^G+l5c@N_m}&6u;I@2)6@<_@av&vKJ}iz-z0b$RSacPr-r(BVD%8dwx0a0J zWpPwYoKo6}C7#pIyHxnwX*6;94 zx$#J?7&CbJc9efPdgqe60ws76Tak58b5uMj3r1f<_7SoAtejJ?V|DY06sLyus5kQ9 zg`np*D5cks={{ippiUqx`Yc#Id*M_iF`8Mp8faIm>NP!rJQENULx%{~U?iN8PJ%U- zO=)S}?a9#+wJ1BD?K^fSZ2BgH!A`6=1%h6LXDS?9=KFhvP&$QNm5kmxg5M{|+Fn!E zc}!0k*NU5HxrY2&3qM$?R7I<3!@TSQK}umZdCVJ7E+vdnFVdoa%JB^P(H{X4dOhT=P@J;m8)xf( z2*8P)w4#fgIJuvKha(!dv@8|(xUNQJHK1St5z0ThT_niqZ7woyaRG1C8zeGl-y=s$ zX*J-A({XzlO)WfaMo0|K#Mdqf_`jQEIOAjD3Kgs$)l1ArbHX=SvNSM-J>h5`CQcxY zC)<14MJg=O2JtYdod^5yDBcTZwf_>#D&NUb#7-0%O47OLvB3xuPShyqqHX7=_&x~= zs6nU!0F)LD3+8n0!LquxP7zkZJGo^^8pmovKDz7GugXmreiW)UN>4AYvLB4J%PyHV5O&@YN;0E8h@GfhV^(Pmd5YDl+e}H`&Gj>*4PqX zu7DD1xkNP!;5(5vbJ|9$@6~9c%VN@M3%)Y)E)&vT?n=I#`l*Wfb%J|p+2l%}s$Xau z9;q?XSrc?#656J8oSnwJ5njC5KrVY^T0tr_c z9_4D*>?v1hUH(1}d#&}JeHu8HymPz5s5?0(qgq_}3ipA*>Ix4TBdrSsbm@THTo)x} zQR&Ck$fZsq6LH7@m9MO8<1Th{`bnbt&(THK9&+J+8chN-aIAT6l2mk=qMmtoq0 zawQvkT>7o?Q{`Brr#1=G=nL#Ko2tmX^(J%njH90f4ZnG03up)1++s1+F+R?dGcbCz zrzoA1R`72Vjqca|1Ti056K!|FxjEfB$U1B~j%`ywsIXilQ&(}Rp53fm93w6I3GJUU zd@i?S(X>{timr!Syca!6O|?c{@iZ&?N($^Bmq1gK8EHz9>p^$4nHes=_T+U)qDE=FUH?aV-vo7W(GrUle6ni{!G2El~7rgYdOwWZdPL^x$th)ZOPLNy0SyZda-A0G1sP}pS}PrXt_mKrrpp_82m^%rPmau z*G_NuMmA{*wq{qyg8GM#s|2n=XLJ9i-7!WoY-!ykZJ-~<{xoD(X?LpkN+E>gqDCrnHd5cTm>o|9OeHFP(szr-Qhow5}r%5 z&g+~6sMmh~Fg|FOv?>)neG7Wx&5~@vTE`HMr!up|CzAkWQMAhZdmKjZ-_4{*8KZ_Z zz<_H+w8xu8)JJXw)Jbiwa(;=4L$6eo)+*Ts!`baX#;1+jqdhlH(#KNiZVXR%pPw2y z!%ij_tER@>$(7+)v1{fX&%|HZzIbc+*IkQJ(aFB6<7&1;->rLRuc=e6iOX!#BuiAH!1sZ0_SZp*4k< zd2oaGku+_;+5Op%7vagx#K+gi!&VdkDNXZ6N2^ymb&Y&3QvZD=m{MyID zPM)Al?5OX``^TiZWnzU8%e`iwjncg~Zk30H*#KMi$6nY(LnFoGRKvHEFtTD%I*J)| zGKgZrdIOT|lAAG@WR}6wVd@(qEuLj)=YRn`mLUkPj^ShN_iqRvE#Dl{!=s5G$Os;A znJaTJ_6X*&|JqZ9t0zaeHLIy3Oz6ZDzT_i?u)yaE&uP5q!ObJV>*b;KGlsr3gAV53 zx+3*ai<=XXaX-Mh<)P7JeKatD{e4TK2>=Frhk{pcG2Gj-!*e8hEi{^cLcyzv=wArJ*Z#9t-;4b@Nw(7ZDN?OfQMy;Hds@ zx~XaB=%D!@<;+Plb|8}?h`hRERb!S0c24DF=DNCR@5|d(Re+UKm1{QYadqpz6JN>( z`sr#4j`twG^QGlZob4GM6xn7`rj`iE|G}GiG`rT!5}5wnb28mnR=xV@^l0@|$YVSc ziL)$buyScc5x;R!KaS121+~OqDbjDEbDr`s^cLcEn(tsPQw6OF(MerY~% znDFM!Ekjr+*rfI!g`l^o3-<1NET>6p!!`lk_U%dJBs`;d)2r`l$x^pQEoK)O> zxHNJO$~k6VG2lq*S>cZP8oDnhhOp{1|Nk+*c`6+VrXX_R{>8f*{PgADzg%@)94)?F zB&23qR@^BdGz+;7CetQHpRTIE(|5z!NMsolIL>-{o+Qcy6a-#_zTk9!1R{SzGV-am zf%F_neD1zgQ}RT1GcIpJIL=)ixE}jG_l=SO{%|~QxZn`>%#aHgUfle71eDeTq;?_t z|L}#vvQQm^vk3bv+A=hGgM$Ev=!Cpm#US368z(=wLHPe+Y(BuR->sTkHSHmU<&l*H zKZ0Uf{H_-sADvn$k`PypTg3Qt+ifB-^u1a_yQo>L_2MBrUix9IpnPOk)A9zl$~H(P z)_DM=%?sfAP-B-x<~aiNl4?+`>OKM|DX289fWv^$%Zl)Ttvv1zm+3LV#cNGXB7WG&+<`mMriO#*NE zRcZp@Gdvo|+wlZ?B_Wn$SU_ksk%I6bj}i;6<)7gLgp{8SKF`Qgj-7BT^N(zg3_E_C zeH?OPaI7~6W=jUwXubVC+Q`sjxb?7g*E9{?KjP@>wl~`p^0~YJ9A2sa_x|Gp3287;wPVMWNwOQoIR z-25N}^j!VscX{1BCejL~MD8NTBJS4FD5AZh{o-?>e^n4o0vozmeze!B?NvLOTXAA~ z6}WR&7nv~+hJezW)$FjO`upbC2&J(IA7<*e@fl4unVui7$MrI@8VsVoPi$>-=}+DCigj<-VW{$smt1W{T^cL zKFs=GpRrzbKc1@cdG~({!ki^nek-|Ox%%|>w(s0q)C+fuAny0_!r=9C@vhJc>WWTC?J35{(kFeC*Y|x@k8%j|8pyBL+sNnYF)tZ8%F9LFW=+oMDd>Iri@ve za9!&DcW7{M8AFG-CfMUYXgeuxt7QKEr1KAP#tHD^?I3Y%ef^Lb*bZHftDAb?(caX~ z9pu?2Opqa?gy6}<5mieD`h5-P4*nv0H*x*CcCs+vMQ&$R%?Q&P$dy*L` z?KJ+!?rUXfw?y`*qn%pp;ag^P6YOMZO7nKH=6^pP6tCC)j$grobVhIP?&10O;j-mi-`4!yL4i1Sz-eCiOb|(p9?-hsP`f_|7 z>DFUd%Pp3UW|icK>Wih2_ad<(@4gV{_z%nNTOm;zEOJod<9gShI z8>%90J1m4k8z}`UCMJlLLMw~I$4YVP4ju#*@+y#-qKASul|r9y0ldML+YG!$ew+hD zQ>%Uu3OtHUM2*&6^lj;Uv%K9YDwPgYg3lo`FX8p(I7!=KA+3^90im?@s7D0^7LWNe zVlcO05{Ov7VNiTH=nOfcfEb!)f*Bkcr;JG|Knez+(6*Q$=%ExqOg0gHf8I-lEBMZ1 zkrgb_4kB?uim-rk-ANFvJ-9Ga4UVrAv24Vci=0g3aVAM=zGfJtLojngo?`$mELe{N zQ`B;73k5z+ZKj>i8nclLsm$3Q7parOQK=JMpXLECkRAYm>K9L@*?+_7w#JQES)u&!F+&V0*R&yrlfa_y$% zP$x&^`@1+|%p1||8lU!DKVjy0W_X-N%-4q3sOd`m8vfmbCan1n@nfi@E-+Xm<4KF%7?e?`mo!sz-IEd=Ze2M*0|oYL#J(V@jZGqgcpc&`H3q7qcL1 zyx>WVhC4qZPuqpZDs@jwXT2R;;qyrqt9!@^%rAw}Vlq?*QW7*B6GVJWL0Z*)r@`j> zG16*VY2Bv?5B!On&$(u)OS>g-TY)*lht=*_6_$2;9JamNgXMlDp<%uvuB1+3gp$@jBlM2TPj-u0sXoI*CwRd|(a2fh z9oF=)For=iawpO$_utU>1(_z%R4nQO*EEV>aEgO<*xJlI^Vz4=bhNjSS}P$b)gPsC z*JEV2-b)HfqnEwVd^Pa%em&b=zQPkYEzx;d5Paq&J}`%$G%^You9$p#-6DDyiczVC zkg)tte_RV|kTZX%1j;k+`V-B{Km!;nCFqGu-^mdyuKcN{*!SbY!;6Y|EnvDz>&}I` zoj@6K^S*C{KFPX}Sdj=~AF0>x8Oua6O|2E(n7_5vNJCU_e{fA_R=<)G9E^uLCrjnM ziD+i`)OP$`;zldt;nuyBM3=SjB02+S%Zp`EGqf zRUx~X^WjWL8?hIxg)Fqk#MZFgwZ5~p5-#|85*zCVTE;+w;cu+IqvWuDCm)2UIW2~4h5*6V$r z>yOrdOZsF52|L}(Dt~(ONVe3OxMc`wCe+C;02S+z{VL*^e@TB#ZBw!$A+;Eflip{| z7F4jJ@fcU(a9?2AHOQ1@p+o6>XAxhPd`8YNkdhhRnW1?e7VnyvH1b`P;_BA6I&$Bb zY73)%Ouc?LHL6!0sVWx_C(MCK~qMI}AM{@GC>h^!+Y~la8i_)7`8fEI( z8Z`AaEb@B1{A4zL5X4B3-Z$Y$U<5vp-#%!gmFI=+PM~$#jQ^WSqu@dJVl37n#k1Z< zVy_u-rzcZiNqy|k;CD$IG{H=0vGvy(sUT4`lxmkO7Ek)R+>r&T{!9mxLkBOJphtc8O2 zLjCl7N2@g>BGov-_G3Lx#L6n&9!iU1)brV*|}UI)s-dv&B$sys!Kss7m!yd0l{ z4+rJrSzAYGxRYx#XDOL|j^OAv;16F1$NRJJwQ+T;L@kaG5mI>VHl)(-heGuaLcBVO zci#MVMg%bGH^^(^3d<;w_shx3adI}?cP9<)0LW)uLa-t zFTP+W=#~OZ!v~hd%DiX{%NfC6ocWes@S?LP`yI8F}|%vsx704n-==!grt+ecCk`Cu_`EVBRw1 z$c3CQlXNc5sY{N%iTt*q`l=jyz@7&k^97}>SQOlXRB7ixLbXjzbge&)c)o@G+k@~f z?ZTJ@o7k$zVa}rmj~it9PUq^Md~oUS7;HEHT%12F3Dh}pcXSW8Qhhmk@aPalS1dxI z9`)M5&w7^RsB>!Uyg5UePM-C0G=@u{mb-JEFm8Zf!}huL(Qu2y2+pu@Z5v{q$UATi z{1#3BasLKRtj@YIi)$$%&AtZXn#NCWd|m=WQ{;P z6jDBu_Mpm`V+zaVatA4QyrCm6qv4-WG;T^hD|&$f7HU2k(T-P*CW)wlTy(OWAKI*< zD7)UsmD%rUw6KN;F=DFE1PRIr31rzl;VsOVOj}sKDqJsPntTmbaZ}Dwq0OACPb;(b z+;92K-SK(yL)~Zo?aI(nnZJs^fmU292JIn|gJz?(uq__(=(qZt-th)<0!xw#4%^5{ zIA~#Aw&hCrWE6UJ_HyWO2`da!i523o(oqC3F|aZf@1sDGkzp!>Um^(lz>&t9d0v*= zz!pZzs{xMs?-Lt4yE*7LTK_u!lbu8}2}uR_*p*H~=8(!bzB4ke)Vud#A(1V7{UY6d zN#=her6XWcln%4%K9~CF%81i+ZRsbYx}cM@syb;+YD%l0hIPrdCO!RV$a9s?s+fyY z-?w=xAl=HhD~k2V{`31hFhg*kQmi|lsm8(~uR7m!T#z7^(7B2&><0%qgS!u3$;ks> zwiBXE5&aAjLOfpuCF9Id_UAc|L)Qf~Ck`^}5jB_gX~qXV1Pd1z8LZAN?CG-+2Tx14 z4g0M*l`1&Z(Yw&7Y8a|;`-^KW&e){;vf@tw*T!n+x23_1^c`=o={IArCfn zpF|D+Z|c|0kZNka-G|aHQAIl$9(+>ZZ))Df6;#VVg$OuL#RX$iF{ry(V_u%=ZIjct z=wAg_Mg7TxHFaM{(|L{B{5B&(PYT>%p~ba#fsi2m3(_QY_~>!X|!0f z)Q`IB3EQV_MYdQ8Z?REzv+h`-n3KVgeBM}q1K^`_7+c=J#PF=d+YE9RV8p*5`LXsz zRqeHE(%H!4i$A|GRi?>7QC-V(F1SMn2_kE49KNb3mBNBgeVHH9?hu4~ zE}|*GEL&<|^`*2HFI0tZ@|TLSD1N3rQsAemNE-e}BecwngG+0tujZe#29BNG(v^sl zMeG~ZaBYahc#x$$cNw0@Db~oM?VcMj7$|5qCKN~H20B3;w_L+R>{lwW;R_VuV<*7G zw&W$@OGQp7N2BWDg$2v3dTI?==Gb2$^w=L_cm-u8I-3c2ti2<%{F4D_BG&ms<4)}| zw}48K{B#g&KkK-}e*4XT-Yg};KsRu7Sv%`Q{qrY?CQPf+k0Q1UQZ8a~%S063-$|w% z`M`y?AvsNoChb~LLGHM5l9;!BwQOiJCq;M1tf*Y(1aa!u$2@@4l+xoPDqKk}e_w-F zD?ihe8X>8w--s~d-IEh4gC~gX(wBeJ4yY6mpK4W57Y_JdZV0x!0m^6!lW9T|3?TmY z_Ka1AfAFN5)QeTYIR@@y&DzE#k!Q^_gvk9Y!5?7?_zC^b&(!o?l_zn@t1diRXp#I5#C@tsN!t9IcFNRUb;`ZyIX@m4m!9Y6+tQMnPTM0h!u^cY zob%ZIT2VRnn2|aRQUPtaj^_bdPyIhy1G?rhSMoL_gRNmWeO9epd*ti_$*KH7?x2wZt33^vu$dGA62t8gZqPfa^QL}X$^uutQVgU!tAX;|*r$F&8x-)-H)xwwDziC@0 z-&F&@z|#BR@C1S&eX{Zcxp`UZ<7dm_a>3j1nJ?4Ek@^v5!BhW-deL&A#6OK_j(*>; z1`~xvbM*feC87L-#%sl?2hpo85QMWJ<<+ad^zSb#6LQ%zv8(m?TWX`-a{1bqI=2iqImg2RPYuF@;yoq5HFY8n@ zmo!N}2+W&As$F7Gp~nmWfBdG~4vWyC-3yeJ4i-(J1+S+h_faRedUzQOOx{6Q%JL%= zHWMKP;dNasjB=@Hf*AZmbRl2&0rHqP9*o!n7hm_yxbyZ4xO4XlsyWGqDcwa6;)JNU zM{qw}5flx%a6iSCzqj$SY|U9q-f**)@1M-1jKH?>VqeUpMCP#ZI_QB#JiAy6fY%4K zgY{ZTtcH_Sl&&*4Qz_B$9zkcA0{^ttsJ(hC+$>K)2b_a|2kvu1BOL;dp@_jItH00dZ3M23>(HUb zBT#^WJNx2HhTfw$v+<)hJ&deAJ$lDq%LZE|t_(GfI;_FSY;)gGScJXxqWh&1A&FnX zy0oH=K=-FYW?_@XW?qFP0(-~g0_sTXeMm!Gz}&)`T|)_uitQ<)rol^}O1gNxM&9sv zy0}Yg367EXDIziXpZt=h#Q|k%!Q%zg9-WzZ0oR#O3G?fG*7V8T<@gNy@5@@3>CC7j z$}L-6d0OM)7Pws2?3dz6dAT2!eoVN?wlke55Uk!|BsyJ1x5|Od8*?ox0%~-6 zcZnMXsj-^jlyItol`oGPNb?+p1{8CwQxr*bYDQ%2gaj`NkxC}&7*IhxmYtOb;Tz07 zF~l!Zz~?uWUyTVmty97JqNb@3U*<>!{Rl0$G3@hn_2n82w&5Vkin@W3u6bW+-C(>TeKkP=hgqZFdvQrJC{CmNS(Tn@(L*J5@t2ry4}M=x6`sUNd~Jx`7UFEj57+2(Mr|_M z6t44j0>sfYJF-N98p}ZJFJc3ZzkKPHH!p?O(0MvcK0Ezh!fxb} zegfT`6m)sEo+`?ebgNXFFxf<{Vg)RXiK(~n?%o*)NcrBpMJ>IS3D z%8N3U%T7@w5o%f`y zBs8M!0ot{648S>}y(MZ_oVJAKI*WkZ3?}4^Ho;`j8Q?>aEr9rhZa8OikJL%N4-d8h zoznl4JhDO_J*nIc9mN2dY%BGGd)lUu9%``p^FEv49qNS=8u9^z zDPs>~jQIlMR(=4r)a|Fcl7Lo%!MsYdl6Xy)JreletBd+GNF|pLgrl>dBe={eO7u|| zeXVf=tt>QQU-aczV>-mk_d3MKhui}ec#bYCk#I;qlU63RO!6Bfzvp2h#y9~L@UE2g ziLaE^TQ%2f^+T|}cgZ_2lb&0E`t+#jRO24Fl+XaG8j)N@@*^xHlkYcds~e|+Q-S%Z z1fzP?_`txGGONk*IUVHpV>@z6Ein+x#(Sx!8DR3wN)?D-%8sq^iWX%j{G{%S-qz5d zUDD4to}DHybv)=-xs74G$xZ)w8)BWfwKZ5HFmmRe?JS|ot?Z7aPv5>N*x}Eln_jmf zuK`*Brkb2i70Q0J!(v)42LtQJNh%sH8skThGi7bRc`C~bUlfhg69`{)kB0|i{_)fp ztA{lmm^@16R5e%b>{ovKoaa4xUd4XY9#@V7;)gYP%)ThPMz&Li65pHu_9&SX&e}Bx z29hIbiyqbF;fpNnJmH2p1Nn}z?D>ze3i%Ie^2Qn0U}cCXoqy@J#7pYE6aWB1swT=K2pUwU{Q+ zTPV4;oylbg7t9OMK2T1Zz8gEQ)~pzSeM^}YPPA-Kr8;ezp{sW(q`ShO##oT81|!RF z+vJwW0{st!&K&`fW64kYHj1?1e~@Na$6DmtIS%;1*-WoGl?YDHuhpycebW~j2jk8E zi*49&Kq-E!Qt<9Gs3vg(|14=YucY!C3e~!e$u8Z6EV80{>b&L^g7>Jo4rS3E*gGeM zv*!83icL>I!)9bba=vnE<1^R>Y^8Cn9)vH#rvEk+>;TNNzLVRi?pGIu<^J(U7qK)F zDSLy}x~KC79vNoMGbcc(ctWX`R8zfy`d_pL7iF3hYIMoDl;ImXd;9r?m1y@|si6Zy zQB2yG*t8Mly{0QBQW9Ted-K5X8YEBpAJr~k5=j$gT}Iy_!H_yXmjNq0I-3gll%_qU zucfPrXsN~_T#PtHAuLCvl4$S&;BwJACc=;MtHzs#Nui?Bzreo33IrtFFLW}5`Qt}E zU?GjU0qiHb0hFJt1HX#pSEa#`3Wt9euPz{mAhYycy8D@Obu%l}lvoCP}D_&15kS-+x4*fP4zn zamOxhE~TJI7DCm~(8M=ynQ{5@TC5mBP2w=z&ya z?6u`0SqtYa5-hmMI}q8ZG1}M8>{|imu`BQarK%^RAuoGk#C@rOpF2kC<~RkGjxZ}H zbaVV-$sZP_yBJ}rdAXY7n{UqO-b@gyE=9uP#Vs7aIZnjR?nv8Hp|+D#N{Hdfs*ui{6Eq7fBSDDvFCj@`IT!C84Zw^Y5gT24|)KJ9Ce>5F2 zZ?Rp?<2IH3%-6)wIE!Z-y^4qR({2~MsWH;kFYP|Hu`To1QzV;rS6cn;u5=tm>s%sR zBGpSuC(681F(LT#un`1EYO(!zJ{vgQSXwfai^!3D9hLU|PtAJTp&&?W{e7t0IsRq! zH6ts|{j zvIX8KqSCN7{e)x|Cs+)6VK8u(;QSz!Q0(@ISeNrkLvQnAncP)Sh7t3gRwtrQQHE#e2EiTXq zm;1Rxey^OY1JAi`9;dp09%pJ$Uc%s_G;zrHv8egmtF5&44|CR#r3-m2w?{g2(P|E(I>NT%tm|FNbN?M8~?^yo}rF&yBmQ(phMd2 z<0`}P3~G+1+&E{sYscgrv;_hVgk;AT$z~paE5IA@k~Bfk5NnGb95wg+@P$|7lY76e zIT7-9IKillsoY5~hGb%tZAt8#)@N0PMaC5RBIRwS@?(1Ti4< zb#B^5qWN(RXI}hI0k`!Jg1>5^d>4BZ+?RVu?rV1m7gn<(g&AU3F7N%ew}mczaQ^X0 zy(5gyR)`vF?%7ssV-3)&iHiB|s`oQWhY+?=4ejgf7rc!0Ft)LbOs`Nejc+~#-Ih45OzMIS=_9WZi z@Ts+&Mt)ED53_6TYg*|{<%TZa&_!9Q_#ZoId5QN11P5Tagg_%qir4mbcdg3_sMgZS zXp5$t1U6tMaHji6Z3?7*iBn+L@(HQ*SioQgV;C&W_7-27R*Z$DuZ!bTSU^?y!T@}f zfOMmGq_UIsuaA{xt>)k{)sZ!(GAoQngQ59Og{OVz0-q0L?-%%SYd+u^p9KIS$pp){ z(M>xnM?J<*)Of|K*hSU~p+!V^*=I4+c0TnVi4}DfgCO&p-VU)Ud$bCgFRJ2%W6<1? z3$<}5DBaehOsy8c_;MQorxEFV&=vl(Du`>eap3WTTo|bCV4l=2bzkZLe zn%YIkL%QKBflau)J58UKe3mjvv|P;sPl*q~WQsh|6m= z_bXaQ`SS3o+FZh{{gq{`PJ)4gV9c!KqQ5kBC6nfez zMzFY&^)!d2bj9v|0)CchL6vTZvYjrzzR6C>b%ZVVu?W(=CE2Az?rg3o8#Nm&?^9`> zwa5+D5NTb5L%o1T-by_RvOK|E$*2;S-bhh!y@#t#P` zjOlzHJ-u4lM(BFvqy(6EHnjJx&o43p*>w_|iVoA2YuTE=kn%{Ou!l~lzl)Z7kmS98 zs9hoQRvp4(@H$>^E}_E1`1l_0dPasDEity(cc2FiS6!bNFkOT-hR26F)Mu_TKV{ae z7!rZH|J>S;K@Ciwo@ACS<^o%w4RbQ(v&L^~U#Uf1BsR|uV9eIKf-;;hb~()gK1 zzoF}jU%B*Y>zhEv2PEl66VUfRYir+vioU^_So+}j`m$e1eHgv9`o+uk=}2u178pB{ zE==cek6M9+U5vM*sFxT2T;q_sQPxiWx!x}-ofLpayK64G6xEiSJBi9Eo3L#TtdQd| zt8;jjjLAFb$}TW4`UFEICp7@|2ab&zhsY)lk%dzHZ6>#tpp0DmU}Ji3u@Ew!SWz4w zsKRG)Z-F6uuK@J8c28cOPqb^r4xACckW|sOkw7thv_ScHEi*rpofdtaG=bdA8n9Y%o{bBPs+qZhvnwK(r-P;nr*#&<&a*AHoz0YKuAQ~#b_k?|xMt)WdjiD&}T zGarDK=3Xp_Px)=yHq$F8IUnPNiq=x+x6DPCd=n3XfAVwhBWPe>AQbLe7fh^o~mENTLXoLRZZ@1T}ne{?YH4uU=)9DFM=n!+~wdTRRwIi^zFj$X4hLA+8#c zWvgD4cT%L@J9|-;{+UkPAjvFN43_BW;0Y>|-U>>QhMCo_%WLnM;?r`R@NP;Nb8l`s zjm{>|l^(OvN+tv<6xzBJNZY6wuwlRqOI0U*a@WaUhs%bO&rm4JgKi;u5#C>A6>2`T=fO`U86eOy>XfKH%<51#(9xu^FlXv z;0m@r^iVY^`o!tkAN@N9)o#?m9sPxpGMtUBTnUp*XKEeT2+tLtM?(}zUp)_kC+gRC zu+owYY+^|9mQ7oYrIk>4jTQaG$-;etYI%V0xw<;|fbpFyNOhBE589Es`w3jb#xYPA zqbpjc4(etxdG?71R9le7WcMYn74D7>_?1&MOSCa{FXCUT9)_hoNp@Z-%V zP?_t#F{-LR>DbuMNlCdGBNT4w2_o}mOv~^^?j!(}vV`Q^5>A#%)sV$0s*A}Bd|XB# zTtyMJhX)Z}!#(1o-V3}){A+&GmJ;J_PZhR~^=(M|X;GA3lLrmUUoukF@!s*4kB1Zt zSnP7qd5Gl&+O%WtwtR6OBpzZZkNKj+p-(#pYB~;9O{iiQe^h-Xe-|`I#eDI|ngV=Y z(6^O->xHf>tztV^P)=#L;3pGEbydHDPKEw3c%D3~Ue|7Kj@#8(bSJN!Gt_vLBT9`4 z8c_{o9=kkem*?;aCmUB>hBG*HtKlp-eD4;2kzzGIH!aW24MviWe*=z)Kd}h<|I$V~ z>d>WSn`w_P7P}r&Y2OY?716JO##4D*@(P{TKzkgTixw?oZBu8Y>+=59Ea?n*>1~|- zCmT*}3d&RBhM~P|XJ0RAdT)6f`m&aU2RZAy?B<06>~iiS6It1>euO2%`bGF_&~-K2 z#^v+o@%gIt^CIfzg%<3Y^)+v7Vg2#sxkYM8#+52Om4hd?|-Vl zEL(K=edk&hEh`0hFuN=NFTz+VQc<3wGDooWoR-=!l3txn=jJR6FOv&(i7PJuab}65 z{ii7To0yq#ST^nK4?$Xv%q4Int~{#V!`RRu9>j|FX2rhu+^~z*!hwS^n}{s0jcLMT zIOUs9)I|05xEhs;_guFM%H=3!dw8s8K@VlYf&f*B zsTUw0;ct@eQ9dsK$u9!iclT!#6%2)8WaeV*P_W5?J8v!d4)!6y8ulTeLe<8r<{o53 z2tUoBq#u~9GO^1spyCVAb@^>Mt(EEk%(+{mAs+VUAJgZ`!P@>GEC2hB_2Vx5-iG8e zD9(6`YrB^Z9{j6Hj0nd~zF!t}r!8`~ef^Efa*|&R%D`YZd@negsKa{%8LCidy)ICE zIh^45A&Lh$dX8fwr!(9rvHD0Ll1yB;!GNAuzG+?8$M8$CYlyC3Zky^2SS_w2VDSs`1u{tLrYY+&9bpc+wt z!bsy$5`RqxgXZz1p0B7dt&GnkN!=-VPfwa#O0Wr7aoAT|I~#JN0}nu*>10zaZKfRo&n%{5?~mevZcKE6YTj7Ou?lf+UFH+zb5eXXW$3EG(_Mz;w)JfF^q|3=-`EE5GBz>ozy!5tkxR)nBI1jKGRn>wRB@YuAAw~ zovNm=5PkxqKhv^sb#I~^^A?7o3Nlakht{GVMSY0+BtML?zfb}_Rz@; zf4gM#WBj72SMmYowG30d!h1Zq6UU;?D5NfT3{!f?EJ(JkN2^3C==VOn@W!qZvP-hL zmMlJFWLFv$_}~*LlYm$qx>Bi&(-QlYtZ>~SAaY7j8H}woOwV0d)C4F%!|p)$)Au6U zBjvUj;q*h}g`Lu@OP)@*0h2vPaAiOZ#le8UeX|+CeKYPq^v>ObjV%x_;{}F}??`u~ z^+F3(SEU*71R&!sgbNwpACGN=W@6EVGWfWFmP@K^&@OaLS^?&x1BP@b-(=JF zyMlY*r#w1{LbjqC({ogPST>34t*q!f2t)rbYV-87PoT?^d(c=)K7+N{eJnwk*gY-w zZJ#WS5graYw_7)){@pF@I0GcRD{y-y;_Xc!&vc|9hji|^Ek9Aw3h87sYKK5*aU@lc~^<_^gh5BO;;N3JGmxb3uNq)2M{Pk&K(5`dupcy!#3I z-59UtbzsbHxxJ4VI`SOOZ`R#cTVHQ%y*xn`A%(J7FlO`B_NhoVt*9ED!f+ej9dO@o zTVmi~z6y`KKK>55P^!>L;nF`p-;{b;Up2{FS=8xVky@FNTa9dg__lYQ|3rKF(D23X z)(`qyiH*^rJBVCC>xQIlo3V9a=RZ=1lI<<~9gZVH5?s&4d{TEPb-bigTY5M$6_Tc1 zc~B``4QnM}GbYA#FQ2V&3V(bxmd}OgL$W~C_N@BS7gZyTEO3xomw40yeE~kRVdNH< z8L8K(?Y~;S`f3Nd9$DqofY01kQstqS z|5!>Q@<9t(7oMCeGio4~Ps?#N;};>Ii+f+j&o$8(mi5a3V}+9VE4Iv}_ZH*fo}|hQyRZw%PlKc3+?e?4 z+;UqWp-JjJ7W7wK&Qlrb%v4kdsl&^D?j`MDtMaOPb{XRcN3yeZKrY0b^bEu7!w@G5 z&aM?Em0kvycl+Dq7ms`)fbR5e?3_rU(#%f*9~&vA)bsjf*k}^Bfq2gCgp+TzNfn;% z>i3b&!Hn_Z7e~tYZZ=JMbF?ANd-IZkA7Gj@0rcXfIh&5Z`9;MH{KaPj0s;ewTw`bc9V)8&5$9?cPr z8?8ny(Nq=K!1J_j2UNx^_m74z;o!=jqeJPAHGwRXgl)@y!OSvEfXCn)&R49fW-#*l z(~AH8YnMV~nDc;AiRxx>I~wCVUHqR~z0ulA_-C_bsqVl3aSW`1H3pkJfSJFK-7)tF z7~x}-m8gIQZG4dRKZ+Uhoga#IqnS9Wz{LsL3zjDIgG6x@BRedwOq*;+GbG#;P>O$S1 zIIgS4)pno^&?5q|LAx{Vh?OGhN2Ah{s$X%U z#_upO@UH);#ay#8vN^Z8#VWt`n@sx`ZWg|saqH<}OIqRoj&y^QP@`!p67ToWp%62L z&a@LDy#Uy}O&{H{ae43%X94ka4*glj>)-};o)edQ848eG?LY z)DGx~cipIy_`|2nGBo~+#1=189Hgy%3LHp|VHnYJ0~9N@v}}u^560WlB(-UnTa5+b z7X$&gG>pQ3h!*e55!e%l$brR>z}h$S`w)MKs4KpD3Zym(8qOKD8LrGuodSe(qNxm2 zE2jKV75r2MUP2d7x!1?x4Om8G%>`7C*7(i#=6D%8fD`80Qk957z*)RVaKJ_ZnrYn`v4@7ZVsiw*JMdBUHodyK*Y2% zaA6v_3$&EEP^2gtr$Vck83gh^Vl)2o0IT;g;s?1xUR86jRkbtq(D?$GseDV;gU3Ft zj#G?VL25(%kdOvxhL9K!2Z@cN z{y`SL_xn4QU$;sTXI;ux2FRaumIQ<9D;0XO;l5nUvb8tJ0q2_eK$DefgB6VgpBT~> zBVM!^Rs|gZyQkm*zB&Z`pKpj(0N!WrlY>UR5H2rBp2)A{I+S)|szjoN+Nmnsd7ed^ z)=gRi@ml&*VSQfGtnteNuLUx?=K=H)YXgu(-5mBa&V)#Q`_ymnXCfE2Ct zm-edisBMHJpLd|CcaET4c(K(@Aqc6brB`|aANTq z(2yz`4CgEJ^0!aEq%rusfqAtwAw1p~ha~;AZNd@EJHw>NKf}~zJe~6yCbK?eDb)DN zg8&@3l2$)0SI^+ozTJBc$%z|n7m&V-v6kYJ=4)rlQTyOq=<8Dnsroa|ynA(M(gH|& zI2HRW_sc>UJIU?2Go*B0P?j%-?rf_~Y5v_@Y zXW5KvLpxT_S#Or7k+pZ~GTN75ue-H6xcHDz9l$#F9o{nDF7LVLC+2Xep6srzr<7tJ z8($HQzyaU$pQ;}dL<9~^mK zg*FC^r>j?#|HqxE=;U3nN={j1R1IL%=bcU)zr^;PFB+i1%Etr#ylnFE{;7Je&P~J( zkm*GXJ07$b90;3*xvOkR5Hl=YhBc!898$f}l|${!6xuUqxsXI+E%SBq zk(HkW;$3G<2z3RljT%m3CegY{NS%) z)E%q%OkGfzZ_K{t_thUIxh@Mj$%M5NzZ*0h%atJfo1@#er1;t~aha{S3>Z{TI!AtD zwiOB|nL7@s(#17urA54iLdWfnB?<;Z6%WjSpT_G$dC;ao+8P#KeVma}2;(X3S4~wG zbkE2p(Mv#$;P!t}p@r}(^g=zpL^ANnk}8gK`#w`2XAn|K?PZg(L*EX|5yI`y`osPz z^6h{+oLI3FV8%paM#8JE!_T=Ki-$%f3R9YtMBa=kaGgGKeK5j@h}HQ`H`bG-J_R`Q zy1wt$J`gAKa>FEurtb0Z_a@4j0qY2d~xjseJf)aGHQm|)H~$^ZKtfGg6g zk+AyHf-$!ca7Ak7Cw~OE6^dM1q^c%#Qn3xHvM~1pl0NDH{yJ)ah*!o3X+Wi>M6be8 z)`y+L9`T)2O0@QWJ5@&hciNR`72ciJ<+X|vC9F@LDPdNQLT36TK#%BJCd&VZ_; zzQARS@t%Bok2f%Q9rKQw0nDlNzRt%@#gkT$JfxYG-aO$vul(>mY(yl_m7QgC`{633 zqN^}D0;f$ds*P3TqiOaJ<9-MBEc~aCkm@L7j8xjZA_gIP+oJ)G`A6xc?V^wiRzh@(5{bGfB$Tl_Sf?b?AK8iE)#fn-DK*wp3)5TS#a%EHkzC3rki)JBD*{IURnpOFNa zrB?orz=z5i(%#&a?y0|gS2Cli^b%`o$X=$TzU7D1&w}3FA2`-&1C%1yl=#lcNC*pG)(F>8oVtn>MmVhqv55 z2Br>(EVa72(bxm`FOe+?*xk*zSU&F_4OOLAo;%3J#y?KT8u=#NcJRFGC!0{`-^-5H zKrr4&n~L{USG}|wz~LVWb>m8RmRuF^_x5MB&K)1y9Ysh5W=YoFFlm!m?mnqL7+Y35 z-w3+4mhL2Z9M<~%Hg`3;n{}7}Vl7hOWgPDU#y*?KtwsS>I(6ko(`ohZe@tZIC%TjE zH06V7O*;UYuLM5iWvsx6V;BTT{8LA<@f$Dd-9QPIFXb`^Bv1i?;c%Reo9HT1!h|f>u3%#IFm)J zMc(GK%A!J_-6Y%&8{rvcNsry5v8kWS>q!(v;fgZv6kj}`b2><__%Ym#^O~HfIS~1{ zf^>A!BTi(N{=7RJ_+1^Y=dAzJlr`W{%n0>_YV$o+<&DA@-Wx} zZzNJ@i7k)5u~@&yLZ=w3#zq^G&2{)yHosLyq9dvd5qW%w*4Ll)IsxO zprJ%lEE&IJLCe16DCIZ?>(H4t9Ckn%&R^IZc&Hpm=fhR!V1ij^F#=g<_=dSf-a+8s zN8*gX>xn0rh2WQS_8lBs_8sX&`GK@-XvOBIGj7RmYpU@Ky6GL08c{O0yrst5;Hs)@ z)(@ta#y4U*(7+7to;e#of>TOzL$)~2wnI5%&?(Dcw+f*`nDn?chiJ>W>L}1Hs z3?U)M6usA`PqOM1iAD9IrS-hV0?EJkJWh!G)=tf|JqmC2d99sn-LM8fxjOxPVt;!q z9CV!}bFa=ATtXr{7oC65KXq=M&AJ^oCT!~tig9{Sd@G--^qo+|jjBN?ri*EIDc4O- zbmAmTAT5!MAR+SmOF>etpePx$R0@o}ni z=`U}J;LzBJ({cH%N}WIaDkDFwpp_}Jtek1uYI+K%h=4Xv{3B1N*i+seyQ5`@F|v+T zTId&|lcltdtk-;=n;Q(9Uz=S$d(I3*RZ*CrCet#>iXOH2&F?x{5qv7>(oHplJz#=1 zKQcMrm{5qRkW>+$N^%E&>8FgE}h^IIvq=r7Fp&wQ|PBoYZdA%$iXO4K-cnDdLlFT>DK4@les( z#(VmQ1iaY-cp`w>6tQin#YsGenToIzTyXc*kOoZ?raotdo zeIl^zoBGYEuLflobo4X}bCTVh#|u!o4C>N-?F9ME*t-!Lr$I(pSyY;{$eV%5Om8a8DH6zK09Bu zQ7)g$HB|tXXcpEboKe~%%)-9WTGml{!RCR@b650+u<7Yt`@>vg9FsGkgm1CL`S$mh zq=5!0!?*8XKuzT(GR@+QuV3LjmKCp3Lf*2Y{*|>5Z^eWC)n-sP-q!P#WA(^4$Ttv`6K%c+`%bo<>QA5>C8%l68ObzQe)g(`k0^tc zhI-&BxI~e-gV>X}a~(oe(E4t(@X74feIfgrWmCgP+n3+z8kaC zI2FV&$1{vK<4C<#w)`D;k*|taTnj4s(9WMPY|912<|`#&yRi)7unok(5~wedi^blIr9vPt>p z4pVIR#iqkwwFbR=JacF|Tmgzx=Iwu(UZb_dMoHhu_TjFUoB-0K750S

CS59VRh zgW^~}D54~s@GA+Ik*)|Mq_RSD#76{gj0>&ogEWX%d z=1~>;DX1#c@l{nQ=Y0{5Q5GLa`|vv#!ypB=1qQ_sr7rV1Qq|wZIA9R0=Y57q?{m$1 zvUweC6!$8IGp%WG;GlM(v3GTIbEN|XUr4^2F~GsGj)*?c!c^Wh!z?*4!<_Xh?LD}b z=Th9GB`5PFE}!j{nbp`wDz7y$2UN%RE$70#8NE!XVD8I_By)GXl>Staj}`XiApAB-A z(RTH;i!<2J*cJElewCMEpE2%v+KxCmJ4DU|$D{*gI4w(V=MmY%Vc7|EN9+ z=<^PXmgQys3!32p7ud~4e1Fw~QV?urB{*iWxqQLSnXVW4wAFTy4LC@ZPjd=T^b_{YcBCu>Mf<1S>19jx3|%FR6jm6Da2ype8u z`8s!sB!xX6NpU-(`jJ@yHd@$kw}{Kl5*UO=zx5l_h`^MQPDO+d{~h=2@9tr}t^qkY z$gPi~9@3tIYSqMUJdXU}f`H!9uF;fH#c+h_WtXyTdh%yG#Z)Qln2rRIJAEz(XUQ$Y z;m@`K5BIjo$)|ojdy}fT=I>;RZ!=J5`p>+wt0kD{0>9zcT31Y_nZK)wKL&*H)e_d6 zF5g;NR^9>2sjhVIUBLhN4qPpDM1R2)x>=#DJ9vk!uh3C{4RL5g+?sqoY-842>#Wr~ zEyBM!nfzR;N_G`a3}o5r zjV-AI5eL#?BEJMrt}Z<&yq2|h`xDHpJ2XBFC&O&~;Lx5$oH9hD9hb)xmh=RK#Ezhv z!hodNT1VQVgZk>x_>Xyd2&4$LSf>-y;+y?0_xMtdO%)A6TB?Kx-jtN^4yV6%xl2bJmaG++{r)-?;7m;vWuro z)H0}FehZIBT*tx;=}&Y(%#d!SplKM>LF35D&Bjweuil^BeFyBE~q`jD0@`5rW)AS?4BSDNbv#1#1WvN$#d zkL>9cussI50S4MV;-yS1%e+bGHKJgflL3E>Mf-@BTbj%xrXhL-ya35KH2#^PUV6~? z{JG5Yn14rE?+fY}aIIbmQaOm{F_|-5hBo-qG5WlW9mbtLd#5VU_o;*|NUSj_57hcT zu(>-}9~NKnlWbmJN5+aQrc3^-(i7-sgj7hzQ(KDa1o(^Ugkd}#tXjG9tBrf!h%cLN z%&B4Bky_OMC>7$)nn@)hx+ACYfe97O5C)CkGv6Cn^zmup?u~EQ-t}2VP;SM(v+miru#B98%5`?Ug+j0bz1YWj$d1s$ZSMm=se(4z3fD`pTTf z^1~mmZBMo^|6<9?9A|G1;acpRU$1jociVVq}c?Ub7XRCN0Ax$YFa* zt=9&i6@a22k;Eq{9CJr0oD~`=9BEeo$s#x<$KYfNuXl_ilNbhdtUGYy02gNyL@A5( z>BU*dp(&mOmlCS{ba4vP;Dyjz5>s*AUF4U~*XB-hPG|e_vcY<=cGb$7uxu8`vK3gz z97eO8LQsNjT)OmtTymI3;szB zMd>Y_{6&Ew0mK8y%F6w0)aJjvjPa7U8+!i3#NTuRbSAQ%j=U?;x*$KH$f)v9y#|Fo zfV1RfS999gScqOgHtqZgH>Xze1fKxc%?H6o2guS7DV!xZ17@W*1LlM_IGp!_6{7$3 z6;oE1 zO)fvRX3S@V!n+}BLPcaAD4n3a(6|!z1Qf|Sx2Z`y7{rVey-X75Z$E}i*MLn7OgGT@ zD?Y%F>z15p@lu{ADSW5U(IE)RXkM^7oyCO03v7A%>rh2eZ6fR9+jazfxC);k zjLLVZN6LyNr^?d8_vTG<_U4yjx4j~_=S`4%^IWNW^FjcuzIvA10VwP9EKk}M{{|GC z{RR|1VXF%uBEIz415Ia0UR~lM-)Q_*w?4gj<~Md>FAFJx;LkeKAm=Eub+ejtRJ(Wd ze(`=r@{50SOrK*J^zdg@(fpzD1cA{xvcdTy&ET5lLl%$S>`K*HvVzhpl9&DC=CZR~ zb2hTGh3w3p1NK6D=@lm|%$weE79SY3zqvfHc#Hl^ULq@HG2D{vWQbq&pzVfEjJ+NE zb`2xNA%1^e5Ns01Fmv$d!tov++wz;&a0^yN>guKWzYh9xi?NrY&$Q~REYc8f?M%@_ zHDc{2`Z#&4iqlzuWYVAe)hBwA-OZRRlWu#%hMY6&SKg^krvZ|xlGmN|cC+WJ37-e} zXZV8MU}ZGj%|!$8%Rg&b*qS}p&7D%$euf@yTzNBT+;r0S%wKiV>v=OAE;FB!*!g^9 zg~f)WU#s2*7Z)8q@YnrBJ+*5^=rH-C$C zG&O(G^exiU)Vu}gUYVL_0-cw!d8v)DIfpZVcL4kVIR3veAS<;oGLJg>F(fi^cJ)&i z%DZwg8YIgG;x2JAK0>IZ@Jzq0R{z%;vJ0Dh^j`gU-}@N2Vi_*`f$^s0>}$+jUXK0? zW`0i8V-cPo)}bmF_BI|YbyKxg_dDohapWawOvQRK2FUzmu2p-s8Zq9-(ZS|pY+7OD z-}9PsT4lLXBnmFxpm&;EHt5wKX%}h`n*ekPa=~d*dMee&$?0HCcEys4wZT22j7qFQ4EvyvX(O{V zD}%ba#|_F#?1nrveTh9*s~!weFlvKHZWEfXFqz*W{a>t#raz?WG6xPGD32aOPR$o} z^u`Mf2mTp@Z5it$`t1efhad~dlW2d0%cfJDNuLhgZzFz7W%V7%IP<)buum4X@* zv>WmU$dlgg{4tkB?O(in``ihnceOM@kjRRDli;T5wF#Ek?4Q z`g-_druJ06V>G0_=TtEVsr1;*`pBkZ>jCAWS<=BdV^IdaeQkqC7$mgAV}Z*bg%bYd z!qWqBjFLqjc@L)aox!zIXDH+l`=B#JDO$cS&+#3qNEcIE6_&YdD4&gUM@qi3;9MRo zN!!HxnpRl6_cE^AAXc@PV$!ep?Pof}*o20~a360xv zc`)+4FiL%Q+0$2jhS0Q9H#Vx)zGmg#o4nrCiV#+8Sj4L5$1uFUL@cY|L4D{p0;gPH zYVM8eUj^Sd|HLW29GaT+mMess9cR@5$r4CIe}o(FVIp*-UHOANR79n^NUK>1vCZ2x zwq!Cqi}?&cO0$o)L=gH5l8RLHHiJaPHxU(S;jh@aR>tm~;6t*9o+11g@|k3R&=doy zl;<1`+kDQ>k;S-Q@S-$r#1miEykf{-Uw02Q@h7ipsRgnugFw}F=h~MN-<^kAzd!!r zN!FO>?zA&kOn+xjb@isXR&BV61b^j{dE=)HYv9l5i1&xjBMd?AQ8E~OQ{y6lB29RW#M zaYp;59vO{|&1dlCk7PoP4G0uo46ENRoz#!Txej8d?b=KaAESj3i&fr?puFja2 z_?%mruFizN@`br&0sR|47)jr0!cpW7{mNV%f?eBkvL!I%eCK?OaO}X4ROV%O;G`+( zt4Y%u4;~w#J>Ktjqd$|g&nW4>D4*k%sS-JF^2uk75sdvT?}$@81Ii#QWVfC|1h0U^ zW%|6=2|5Jyc_B|mLx^5#Bu;OrNDecURok>REVO?}pQ2a2^8fzrKPBb$hX=Cda!-D- zh=Zo{S1PQuhD7C2xG9H83aI;aI>YrAgu6AhloT3M~m;WV?)Tpfl1; zjO$4j-neRv@;Zb6tVmR_lHq7uWq#nDbJ3gMbFl?)u+R{lak~;Fvrw2tQvNefj-M=Y z08ibLYKVzrK%y-0g#=}htYNg5G3iDCL=VYKOM(j7(gE<^K`}M%27t`jQ9J#GSOD>M z`UdkgTqH$r%$eH-2+6eLU_U@yWyda`<~Lamd>@H;Rxa=`UZ0d>%6fE<-^aFYzbJ#zt9*mMu`Vfq z^*DL3wTvILD3l*b+*fu)Q0WpC_S8^)jIc4jDF?vi4B(a7jfuas!-(BZ#i`#8A%xMe zE8!eY?B<`6sm{HYtiIt3eb^$77wsv^dA7zP5Y=Sca}Q?*(xiod#SD!cQY7khQ)q2h zES6MIhkr_>9zBco#Q$|5AKTK*3e->Gg!;2(lD!Dif@DX?495NPVe`?Hk85dRRN=<6 z6m*A3huMN?Ao?$iyoM)}DM#warNUTKC<*LjPQ^W3V&bWlhCZtsegj?Ke>7}Iw32EQ zDggx?$ny+KXU!9*hZ=3pO?-%uc5YeSko?dkyDqs9T^FEk&-#c?=mX}(tZ zP)}}i@bt~eAu@rwzFw_)p>@^vH<0ST^iIG$09ffxg-3Let|>LY&lG@aBYabNA@#;@ z>d&Xe&i~l~z`F>&k7jNCDy!=IH5yB87*rFC7azueKEJJs%G`iEwmMgzM9PM}^=#%g zprnEI?0^lIxuqEKIg7a8c1aFTnH9CTfIe2^ub*cF%_-23DokXc!`~F@iCB^qmu+Z~ik}gHuNeXV=U>|Wm(l{qHpYUzL`LK4JbKOLE2cUpa)ETa6w%vNM|Y)pkE0N;;2keQ9u** zo8nv@Jsu;A-$F}!oQl7cYd4N+p6={|peYVENyTpz0?diB&jtyjl1?@wo2S=oq%1qE zLNoa-1Ykr|{9dU33xc+|>?z=-nZWZo`uF0fQgeZ3b@tt{v1EG^ZuedjXC}U^4aT4R z(77K%8jz4|sX~JV8~ayY5p4d65n)?!eVw+SkwpAOjCh2ie)8H<1>|{DvGMyMey|%H zdnLv9%EnpIdp^}fWv{OzBPN;!QAL`E#T!cURC#A}n0-(xBT`{46W?GlB{rL=YLY~Z zU+CN=Vp!KBX=JQYPxWG+z^Or6y(-jUY}C;)Tp?e zI=s*aBrMv{JmNF>LPo!;QT3Q@6%$&~Y&z@@KQ%Kj$|hjY#n5Eb#Q^2%LPEvaMx{PO zdG}H|yfkBW!O^?mR_!kWVs?j~s_M>~G3cJEX1aek{LDtjuEQIq^R#UlYH7Xh_}Z9=0P{QVu*z0X~Ry4-aGwz3rKiL=5-a*94ln zskR=WLQH{Z1ZOy!pc{0#iep-nS2IuEhA^BNrV+^rt+bt1K@CBLw^im;e`4mo{ktG5 z5#`$uxU|M!W8ag>4Y0d*Rps47OY?i8h2a?jk-!~3@C>1o!foLnMiRcAK-c*}$D~wT z7~C_=Oa;UbgCWgR^l~0L>BQ5LG@`F!-8ckoFJ?1_=g3on4;T8brLJ*j!XoxSb|nrf z?Da2f?z=EyM-LR++n4D9d)TdSBka}w%6vc~R)tnV=l=G?ny##qZ-QF>_Bd)s(%;;f zMWi6u{f?}HQ1OL>VJuq+P9krm{@nmi4jrHww%+O#zZ-d-A9bXoKX~Cp)O}W$^tX~? z?yovu5kW!}so@QJsKg%jE1d=OFtvTTkiK(;NcZc5G!_f&9-8#eE-_y)Je0PuJR*MR zbfaPk{yN1{EHvqiiAPNt5@^!5eNhhW^GTvTOup8PlR*Bqd}l;uCCo}vlQ1F^d*9Cb zTB&sw%O;A@q@iy))gak9XfZv;fS{{lW_jrLja4CQSr5gSdM}H8#XBWorh7Pe+;p<& zADm5pBQ^(in|OTolp}oDvT*NJBA&3K>EsZDwt>8P?OAOiE2bYC;H$<T} z(hq#Qq+rK%(M8WzItbBQeGT=a9r5||>^(m;QHzU+`}=L#JW5`zR~ zJx!LF`p`VQwmG(pK-#&6*k(PoGJP#~1nC<8pl7+OoXz9OGO^owLix`WI8+8m$pL8{ zuO~~HS8}D-tV7;Y8kwmN13J@gNgH$y5v^sAanGXE0M~e2s5(?4hm_u$Apud6&-t_- zW0$rbBV91{Wzr1?hYw;S-r@TAT#%b|hK(C+R985zW-#wD8LP1O#ewfrhy3o>Lk3PT~u`&727qpn!CrUXFizv{i z{yNQ)YL;h+#as$|G4K$ZjqWEgA&(+X#`nrxOFE~b&O4*k^C#c~n1V;S5`}Aw&!h+F z-k_V<+7KJcKMgKSlbpWUV4>C?15z2Zo_h?oxZwjnt;l!V%_dn1%EG(-_-JPvSVFt~ zF}4g(o^`1OSmLu%t!LZgr%lvH(0PUoNZ?RYh~iKeOW{x}QDZJMqTR8u1QH3%IuHpU zy@&+XMRD;i#e2|p(fZ##dAs(2&)Xw~yHDd8;wp-}zwH@9DTV7$=ow-niq+C_`-ea7 zVbyOFk6G;|P?x@7?G4%4&*LY<f9PriU9}(4_2emm zV+qpPXA!M?QlqWxN@eVLBk1|u%F0j?>@8NVb4HTqALPVXg&}~9sj&(w0QSImuVK+) ze?>)c;wdkGo|uWnSgk3hCyMtmiq(7OmOSxP3PQu#f*jloj+kQ##2$p+;zc8f9uwG0 zBb1`w2h_*S-25HA3BPAC>D5~RWB0NkN52(*qD<66HAy{6pZ=rUVH`Juswc`}z3x^h zetY$JkI(|oP>8V%C&KGWnv(SN=w%PoY z);ihQf(h*cVWX~CB`UEwD=*jJ%PWm+VR4Mw#O+3_1p1+2;TmS#P4zlh0gAg3C?1Cl$iT1dxcClZ%30+RjF8pf2#7?YCAO{3Qg zM&C}WSC|ELcorZl^aK?X2P7rS^|(r5n%-44$%YK>0YgRTuVxRc-ObWyR_xjH=`Wq{UWoLn_}eF4-St$T6-=a98Ez0FBO+rsWlXmZ zl7bNT=5z&HDe&7EZan-cmT^60!_f~2hT30KwGGoseHt2Vjp^HO7mrM3(ZB5{)-bR9 zi8W520qtm|q+`vnOz9)n6gH|1U7cYfFQlqV^|cv52Eu_?AHphb|1wsJq%gSGa`aPx zUZ${oIgmGmPsA`Vd$b|(77*H{h;zJ1`R&;JXWv+qnQyrT`XS|cVX&~3?buR@B<^`Eo>j1i26{nNX#oMuNHIwf)hkcXQD2XT zpt(h)`!!*OZzWG@!$Z@Fb*lXpNy)k*%czb0P06lgg^gtPNZbX;x|D>6|BZuB!NO*> z3KSbqrLCJ-5ZdB^q$H*B&BQ)RX$ZLQd4J6DaYcMOa>qke&UoO>tQsgplu;^_dt zDL(9k&(xW{>AZ&tZ=ba+b7)A_mVvQ?x5(fpM@!ouq2^r^(Zod4B`KLByR&PoPrHX$ z?ZiM8FJ)$zj7HInVqB($5Y4{Avuinyy^~NEnn;%-H06iia6dA!GUNZz2X*Ibli&&c z?_TLa#L4g<5y#brM)}(?4*EE&LwVVHWkKx}7W=*qsAB&=1nYI|3m=lrZ`qz9HX!aP z*u2i$$nt>)M7i8`V?RGc8e$C*>YzxXu65{E`GQR5^kvOduVTXJgXv-H8W!q66EdL` zmp&yA(iLpf*DQxX^~Ss&Q02d@X04mg%ketKUBcyJMS9tP<403wVAro3)!(7nVW+lxu}SjR$-u?(g&6`XudmPFJRg~2-K8miZ^Et8sX&xTCm*SG zagdSR_74O*peVNqKqbuwkzuL*Ge~o8c%f68(4#1ICER|IQytkkx{sGuuA;W%_JI-~ zsAN~0^JbdQZmbM9jsG))UTu!QmbKKy3!yxJI+~Z#2|WK#c4PUOVVFzYW{;&h!uyE< z{sVdUk5ECyYB^W$Sv@U79aryInqh7`G2G&Rqw)h>#~*WTxTm-e#3UWrjto^@y{(i` zyu1G?0qC$L#^0_#U}N+*DkQ@oy|k}sXxjV9>bfJ`aGe;Iiy1M!7r@Tp@NU&1>ME4)924Fl{wv4>B<9 z5QXkF^s+)$ruW@q41z>|v%?RQPq`vm8-v$?jCvTO|H|JB`U4AGbIFg0ald-KaX(?a zsE!MoQKmbd88d9r#)Un2%G;eBF}nqEw>w%$a$U^V95MGjaW|WJm zAE3J(+O^|;MdU<&Kl5cD#yh!+wIF)&R?{{V-`nez=P`jI*W1sT6!w0 zVC)WE;(u`3UUrVt56RxDRnOb1Mdoa|^M2fN*9BnsaqHym#{l|9e2%~bT)NDy(3**p zp3XWKWNd(|9)vxRkgT@MAkLIp76L9a6iOXQKya#cLhCjWY@ffki`GeDfPYW1=6_9O zHR@D)xdrY2*iuZc;e;ifV| zw5Td2#RT`dFrg`Y9+q7Fld>!Kt6K@9|V~!>X9%ps;_L zl6@vMD%4y@iGX${kdHaX>r=8dA`Cg z38(fhf)kJ6iaR)8z)wE6VNfd6aOEqj(+Trc{t2*A*xSJ)*)8#k*kg)|;&P>d^m59Q zJ|4YRy+*BFoo@;wYWjqqcFWV#CpDX!0GUmh)2BYIZ?nmoMH9b?Hr^Qsz7b9)5UFMy z9rk=y|gY~St>rAU~_V(OVRQrY7?|R5VBZk{{_*G}A?y1@VSl{T7JB1sFY?hf--z3Ffr|=hWz+YRkqj_ zL^cLE`6l(#VVcqcSpE46>yD1RX4)?bv-N};C|xwU6iLFCDZU4E!X#`zo8ApOTv;*Z zTdVt=h)_IzeV=CCmF2D+JTY^ZWo;3lM*z5p4Gk;_q+{H)Dr~SYU6p9j#Y)~z2FS`F z4|gcH)5lCIMaCGODQ*UyQW$*jK^2bf2UUq_7!(a<2}DZb;|~=1>{P55vBqUFx~I5m zs1K{1!W{)nm{0@HBwes!hU{pYCy10x3;7W@Z`BPk9sEfvsng{}vl*Xi?(!7suRFRgPltSe!#uVCyry{{9gkEsu2ypd>i6h@f-iQQ8gdo}qKc9SFk(3+by&?KC4>hT&f@#nst@GhU*$oM>8 zXj zVv%IKln6n0IzbGzMUW&JNTV=~(-(?VuYzMoFmguv#i0;%C$n4)(+?oE8t@F0(|g2= zEIN0=s3!8>dpI2LS1hIeMzRo7G@K$wnv9}V6<_!qPVS%Jge)y!&<0&mCM~is{Pmp` zh1-x1(7nX5NqD9$c)5fy0QFHPChHKN(4A0k3373A(qotQu{0jqI}X=W{}B4)T)tRW zT~;;LRg%qjmtEd*=&U+hSIwyn2z+_(vN!7vonz69g7IP#C+m?#|Nz?1FM zKdpTkAlw!wK+|7^Qjtr*`^Z_98q&v5rtUZ497&K++G$Vmv5ZV(Gp8G(UH?{a6$!A? zcD&N0Wk4xhWqn#jlnr2G*us__h8@NP2y8aa-vfaw4rY;I2@?M!^*)A9ORwojTe1) zoLf7E%THJA-%X~yZGQ}E?rTjqy2j48B6DcPAJ&EK1xgqLcd>4UUUF$Ny)LLOxwU_K zw?3DsB2*rDE2t9H{!*5G#H#7+74m&B#40E3@2iNk7K5vD>lhZ7n2wLX%sNT_<(j)} zg}%d+uBSv#3WLcs6-up>$`o1)xG^J!L4*~3r$#nQIzNhInTfy9JQ5s$Y6u6(lTxw} zIwGmM-;LL|zsx>`saJ);2%uirN`RV+vIJ$Jex0#Qa=W}~{q7OSUmNlSj545A%;`1b z`cdX+grw;}$(HWF$8PpBuws(UZIYXsJ-Jk{V=!s<*JB#Exk*bMt&)+#$$weDqTI!5}kF89T3tLMx=2;OSfLNbaWJu>l5-j zlce4j^Ihm@MVUZlWQ3mvP_V$HYPcWC*9aYE9!i4aDg`ir*m_h^FK0^I_;oyC=Zuw*UcRF=_KDz3Af zFe~g}$5TbN$d!@GSmB@Hcqe^{NIL1EdFDd#?fCTgsgUVXIjlUYf#VmxCG*#Yja>oS4 z`z*B^{>H0%wR(JqO^RfiCG=nLo4RzXxyMrBn_>)s5zqn@9&R6TAJu9Fr7{`ZwwG`C zREfPVFgb?L(v~>;UzETUkMngftJUr#xm)Jy))e|jn824^5K)me*3+m~XVL23kO^nf z@iW?pyAB*}U^<_%2=SpE?e+-Q9PEEX8e7R5%#xr3W zG3V}^HVF0RB|`lhmAAGcUV57nRk)0H3v8RK5dcxrcL(o6dh=sGVvf zj^+B!p|cqbU6VYFMM7p_{?VPkwW2Jds|(ZUr*w*6wdo&ZzR{PUG)+tRZBV?`q<_%j zrY~^>OoxDJ?f*ahsaGsa0NgMz1&2~fsRQ0XEAT#TWtDqL>H4C7yfE)Emh zy#F!>CTY|T;gSNY*(KT$r%Chb0)3#tr9k+r{m`;DKyQN`J+Rnb^Cl}*!5|;*Uk?>S zI1)(HuMEEP<&8b%<#F;Wiu@EMW!>EqeR6vmQx@n{vv=Eq-4m`l40H0#o1LqKa zC$;zkG^T==z7CTSik-I_sCF0(*hc=YXk_>!uV-n$w4=eB-PKX1G-e$cWKr$>Y4FGL z#osMdyE%TckOY{*X||9yCovOroKoFgN)cr7;C%;obX01t(f@XIG~`l zD@l;rH5NSW9{mH?2ST1pAKgugX*aZWMh)W!j2S|nfqxX+m|j3QVWJ$nF5WhIM-|Ee3r+&#}J1bVP+!qxpoyPN|5{ z&UGnb-4oaL&8DV&0~GtBAAZfVcXBa(N4*sdX=)Zz;caS;7=pdsd)9y%iFhHWmt5&ctS zMXI==n_O4V3n6PEIc3C+<7hHZHxu1}uSewQI$@MZ5K~MeAKGTp=Q5&MLVdVQNaCZa z&hF?sqd;Y<3#m;dqm^}HplF)B{kX2xEE>o1dnWjcr$lK;S*!e&9jioK8H{a!>cX?( z?MT^okqyZKzP^3jw+1)jQJy6Q^6Ggn;xYTE;PH9G-*li|&vGiL<1CVhOGU78$JeId z71VuGz4*Jtg%BXVSYA`r``)s#4$1&LfF#idto=50{$xqs{~o5i$zl=rcw)AKKSHt8 zD(%BJ?rX#F35dV!dmv73xmX3wEH9p1QyKmH|EPM)s5qME0T*}OAi-f_6WkMA13{J~ zIKe$Zf;+(>WRV~XED|8NySoKp%=emIM z*dG^=?-976zbR}d?eql zb7mn7J*z*QVCVabzJ5mqdq9tl*!EGbkdz_^2fzq!^a#pP^SV6=Pdh#zGiW}k9Jfnl zVWI)Pc1uj}`!mSRP(OZZN9N(Z<-7q6P~x_90+b`Jc3*av35er3#1Rg0%n*`fU6S1D z&36xdXrmS){T1CRC5vZ_Z!G0X&zCg~>QCQs^jDJS?&6XJC$3|{E;h&#SqCtfXIDO$ zufBCjA3&i(9Lo&-6H#%x{rC;*3AHMxLUIiJyC0SC4s~EJ!Co$aAL^nX?c9&zSU(FNOZLS zv(@Ua3y(;6_d#cI0`L+Y934OZ0EXq6CuS%LaZy(%kw<_(<1`O*WqG2t*eZ#t#lqh1 z?9B$7Bo&x8&srBmTsp?(3r_>FwOzhNm4BQnm+DO@Fb!1jW_?MpB@_`1^ly@mrtVg~ zOQ)AoY~PEtwNM{r|c*tHucLq?;shZOs0!2^ghqCJR;*cF8z24zD*5++X z(SnPAvN}zEUF|*?qcbQr_Mx7Ws2fi#O*56;h&7LHl-Z#*YEbgYPlnhs&=W~5lte8=S9&XE8dBmNF*;7QA0vb9@rEUczvt1YdQ)A@`^*=N#DDOkmo0x&oZkpe zXbd~5*Rp>W$@{FmsO+qAUmEogL{RtC<^-7=#rAxV)M(bElgjulF&b@V6NTc3{sSy9 ziQgb=ftz87p1YH~T2P?R_*NcATcXXijX<5m1LXl@{j$!<0O-O*KI${;rOdb8-Ma6aU%#v?&gFIhEyou8{F z3pxL4L;6`_vTR6N4~A?0-kz@swqvY6j{gqV)JmF$OcX=3mgy&R^gQbOW7)WH?|Y|^4;i%V@*Yr8 zCi++ZfdEAcs4|pfG9csp4q z6N8)Q{R5I}wiRj?bmr%Ek9OS)&~01KZoSBk&L=gKA$(QVYDu;!Q&f=2BdQ9~GU0ts zDdv|bxYde-e3-&58d`x*8VBwJx`yU-feb8nE7$^e>yBLeDPYicES<#)v3dQokmYHw zBrJ5dy3xsxPhOqOP0gSC4vpc|Zz8}WO=;eTzDd^^l>tU-1crXxMA0l^D)B30Q0j8_ ztFbCQ&cTaD_wlU@@vth%(Np?{THuAOGcncrTN+*Y@^Q`RcdTLKcl;9(NN&CxXym71 zk12IK1rY>R$~t$VueD=h?ya%@L~dA(`zfXdA7N6lUWSut=a*af?DxCVpD**Hnv+{D z($~CxG(S|bLtIg|%(t<;9X@SQM~jl7^uDX-I=@@4@wC!B#tBH^cc}lC5maDQONr6> zoN5M&oO(ZGqj$w+7(10Q$X|%Mar4{~erNPOtX*7X5k{T7O+_M9|2^%d*b4>qLFW@g zp1Il%sDc2F<>0YKh9<&x#7C>gu)KS%jDA*OHsWh+WcZ1>8;+%H96T0 zRsN+RRsXr2f07QzGtHA_Q@%KndFx-etHDd<;2lixVO+(ymG}m!aX=>q_<67L+WPZ& zjBp@1ua$sHTh`+3_k>!aBua`_4=H%S{+P7jG%MGsens%ST$*nu3` zpy8Sao}p|i;qz0Ha{Fmv?_|_%q5NS&YbgH&kii``l+}rFU{7GI?FQ~f!**=ld@|@$ zq8bP$1X&ZyWn$fq&FW2tPqIXyt8M1yAD@Nv-!9C-UP3P0SSRg|3PieS%%3uMiTN_6xrezh*2@rOFRp)~xP)omi`XHr zMl;z56(5pg*NJz4<`IgcrXggp&Dk%O^h^vk3kz_NXw%8+v+ckZ#Pf^{G}W^6vCT4U zZ%o2+qN-(BkwsKhHbF)5m=2Hc#zm|`I{PnorK~$aK`Q3B4gOQs`#2gj;OdXW)f@@` z2J0c&8_8-vV`$}8lWJs&AdUn12KyyebTWB&Dwsffew>jmJQI;KrzfHqR_|J6pn3(zoB2Op1LlEzW-r7R*@);_tpd0!`Eh4hdW?uX9E}{u@+7yE- zxy@M2SfgNZY$V!hLl?8Ub_VD|5JhbY@@i~|qo1r=D=V`|_@&i0t3}-(3MEwLRluRl zQ!yHaJ0yMRlDPPa@nc9CU7ydfTfY)}hp$ZA9T8cv51PK95VKR9t0>sAL~eNb4<%Ad z7Qk!BE&o4Wg9$F^=-~k1HC&Gcc1R2}-QcQ1TIFPk<-heV%Nd+4r)Kw{^Rs5Us$q`q zrW(?vrY;>VI#9#NHe*0j;le~1>=q74RJPYHC2#4(K3{uX*td=hH%Z#J;J=x;eLKnd z8iHBy4PxA*avZ@Ztf3WE)&;p$lD_ELCNH<&P=GJ*qnj-@50j5fS+_?R zpP$^-=@nuv*fwO={hgdZ^l5NJiS1wfB~T2QE+lx5cnGNAT-v~=q1gr0kYW#&aMCzH zYmhCl9+(Sfc#*y6_|_E0T<}fkH;?;9b8l-6RVs^>uKkPu%FRw3U-^PzZYBS|bw`~J zS0@j>lt<@=b>=32s5eU-tpSoU={eouFG{T8MKAJ^+ZYvs3D%3TVi+)&bDRhOSOWpd zkPv2ihY%+AT5=#su@Gi{Fd+I)1miN>)K%G<_qIb?eVmqBZniu#Uu~(-QdQ(u+ZgAu zjfhl8^lPySlc0m_)iw0X&#yXLWp$;IsiXgcHRO)UXgSX_f(`^x-rvAS7!R#rt9{J( z=iLq?e;SFB09FH~f<72wQ=g44ubaW@>WIXwbRNy*#}SOg-M{N!H4G#jRLQXmTR|z~nzOKe%}S$bL*?fnXxQwLELv=@U zr@7G3>ym}u!f^Cz6Z}{s)yGW-?$q@&;+90g5G%l&5@@1|)*3p4q!&!=J=q;B&FviA z1?X7dE}LTW9ivh&*Nk~L{i21o{VaL6{rD*y?OA=I`lEy}yC#J&HFo;}R6`u3`0-A_ z>{uj|+ig2qA2VgTOGWQR8{*=L6xSmx@3}d4>*s}SF@xP{Mb;;fjg6ivaZWX)a)XQE zx83QySRTp=M-sqlPz6{Gqg4iQc~KMonPWY+u~M!b*o?tk2D(>Y)9Vr2l{twaePYT z-Ri5mFIuqdnqS#P^J?c*Gku5>FY+QyRiM!v!09dPAaMM}zt4J+U#)f;c%WVJU2L$3 zX1AE&sM;yZYtg0U$K}tgp~m5;5|0^*og}vu{yX_GucjUW5e%E`^tw3H`*FsBRfH) z^N310lQ6dL19Pt{pndb8++{zn1u3 zSmcS6erZbkL%CZNhavi$xlVRCb9GNVG9YYU6o=qW6eprhWX2)Rsb@W{&E+LJ`O9Vu z9#1SssC(WOJmJ0ZfFKT8tqOPRuYfrl5+%FLG#@JT>ILS!<@ZwmB305*-I>x20%00n zR~#>?yJ$+FP3ji9I3FS*DkTRTzmB%x;nzAE+dAOB>(EIz;-90mA(7`aE*e+x9Uy%X zRozMDN5jMA7@~rN7a$>9l!>tLNsG(#H6aGaAB^P{Ovaf-?x zu}V6lGit-Kse9z~E-Gcc)7mUh{om3(v=da7$5Rd|Qw&%0N!vY1ordk1pRcDO zEL$Kl(VtDmD60QeZ^~l%*%8O>a8tY$X8l8z;>2HQr4Y%nZJ{|-@%!&k*Rm3x>hzj! z*4i)x*=1zysyk~jfu8q|bP{RMIbTMyl`%N!z?*D(27Qu;;kC*7Atd!!U z94Ee=Ht#$vRlS{n8pn+y2!uX9d9EcID*MW+tA9AKAZ?=k4G^Mh$p=E>_S$d5ucsq&qzo%7pi@P}@L}7(jFU zYHLonL)9Wua=AD=t|C4!`~5ctcoD<|dzScJbgA3}2KM8-QJTxgcqg+4pkLdTR{>cB z`n7hrK)?19cYs{#n=C_5}fR5K_P^)1nfUMj^2Np+i={)H0(k%|++>aR#@i^0go zFM3^FTbRZZGJsNB`cGxI(gr=MF+CCW0Mu$q6Ca|tG&~YmzlRfOgTx$ZgJ>LAZG^Ym z8ils$N2&k!F$%IDmI|Ft4pje}95`7bglU;!N`ObHde{om)IO8|fA?On&UpU;M9+wj zFHpBpDIeDf7@2An20z0iFLhq5-@&pzJB1mx+sw1`CL41g%n!( zeRhR4sPvJeH3vyNZBYDcZ@9%DAYhf*NaPfT9R>dKdj{T<=l6Rqq^Mu&XZ+jk<8MQ4 zEfPz2UfGQHE*`{G!5}e1XDW3>sm+qhn-CCuX2pbUtL5U z<&T}$?JLiy^ci#FIsTg5XARGhxsks(q;RP>LfvPq1p=;+ay7Fl{iSRpZzc%~6c^Pe)G4R*sOJd-A+U^S)vzZ; zbJim~l)4~4d1y8lO<|vW7wegFB#uN|pSY*|vov14A|{ry^$@?38$~h0Ykt4dP2x?Z zc44EWQp|9BfwRw8Dc8^u>uY%H<_Us5$FWCkEG1Tn^EXeh zJQdS{iJQnEYuG{|=0o9|(qQLDoYd~;OG4sLZAvwwzqmY9-76|74bQSp%$(n}c%Ee0 z11IcV#&q1@;F${dJ~Yx0FX4TJtDYab${>bX7l_$^`_?j2zI_&!Gx$OD$G0{REc9%P zneFwoM8InGuoO{yLkI4LGXNinEOdJ>sOgcwdS&gJ(R{`&VYqIu!pXGmTh6!g^f#e)BkEv8jCo0nu<8{ zT8cQCfjo0PAbOhQvHF_i>V}%+&IE-6+~U_f9FcdAmn3gWu@)+hKc(++?AVPRg)7^R zS*;8CGFEo@c2y}g_?kU#_bnJc_t1Gf-5y;U{^IJ3j5n4-LVDKE%Hy)sd?;oH#{l*a zpu?YNvp5ctH_SK{zEzO?8ym@w{4HdvUWK(LZy5ZoCHu(Hrucju)!{np18B`gNQTwY zMyK?EI+4$FGrWVi{h7v6kEsC^Gx#6$pWTXoD8IU~ZZF%;=hrr8nn8sVxg9}$s@c(H z^ow0(Ot4}Wel?^0hdpJxoh{|ez(vMijlHw;iMevY_1>P3^|Z@iD8>&fem5P^~f44aX)V&Uq5Cqk6>%r!0qvW!yK;#26O(SoB`@;oC-{OhHN19f5bRqHR`w;@loSLjD70&p%=Y*t$RwY+ccOz7d^3S$>F3 z7R^eJMKkNKVtFMW?!OAv&%tG0ecQ}=P;!s>bkC^W%1aYt>`pM4eo^w@~eRADSwgB$Kq?-q= zD*UB;hUpy=mpDz9^SIS^sh`P-=BF?$tccr+m1Y;xsHY2UzMxNIsZ!`1=lCiAjx_s? z*=v5M!5z2R+h>>VM9NiAc(~A5Ccy=I{fx@SWN zcU|~t7JeTE*$_AD>#=?JkF@|8xH8kYbV5XE6?ldi>^$PLhBBirlH@p#O;Uj0i&vad zkR;33W5AWjc$o1YC}JU(Iyv#p0JUWXz+!Oy^2p9&N)VAOA4xxONBq4uM+W7FD&1;4 zGKk4VA+g2*stxv5!2Mti{ho9d?R7oBh}OpB;Hj)Zep*#Ksb5m8q3^khh^|rq94X&r z(PB?fR%{5FIS+{9(7t+h%W`;O-pB4lGg!^1w>|zY>a8Bt1aFM6-lqxmVCxh5>)RJ@ zW573(kizU(-?jAT<8Z`9O#>d=c>GRmZ6!csAR1+{`wGw)ybq@edj)a6`id!ZL+r>r z`W7Dhom-RgS>hhCR2d_jfiXn1pZ8MrA=@2Oz%8wJpI2n+`h?gWJo$Jme(-vS#iw5G z(}`mw?a+Xjr#io%0(&rDNo#Z{fMVe1$~DCPm}~gjPB+MDSykx_TmmH0xlJ6qLUt@H zTWyTo6V%h4jZ6icY(+_R)+^~G3G>Km-dadoWRX9?fEHTJAzaaUF(iDv{vzzmqd-p#h7P6;pFxk z@SAIBdk5w`VF0Az-G>H%rxQ$S2~Th$VtBJnj{-=OQ*XOL>DTloC+f4s>f_MvX^cdaVoK>THj*KSOigA1@iswi4p3KWmR2eLAYyel`lx;COEQHl~|ci;H7LTGf6=O3L!Aru>SoH%Pi2AYf?l2E%a5x-LZX zI}4q0`z6-648kiS*}a@suKbkMslW=`)aPuEb1xk!f_{N+Oy<3flvWT&dyjS3iH=*c z4lrfo3pVU+831eyESI!Y(BjDlEb7|tB#>T%aYy`eFE~$QkX<&T~Zd#V>*OM zlnlSJde)Z)Z_i_Y7_NSS5S#f}=TMSz68k?R!Hc5=>(}8tGas2v^HN^y(%VJ@u!e?_ z>Wy{4_z);Q6T!$mJq)jRrH%!l4eTq`PClQerYZn?!b_{KQMVe{su7%q>jY!@(t~!S zCOn$G@O0^#K~fWkLZWls9|=pWofn!6djOcBQ3JN8%rF6P7Unm?$Pt5F24!#11#w(_ zP6P5CTHVw2=5z-ITix451zQc;k3rh!VdSDAw_Ug&3jsAedD?|**Zyan7dRqD2vC7S zE$+s$!oaadCbYn@N=sYhTnXI&sUYCm?gMI=?+*3Qqt8+oY)O!PJ1-xp$x-8wUa5@h z(ecQGZSzD&G1lJ)qgdZoq=+?PpRybk;Tul`TBxk-X!a(SoCgyOVDfbSGp@3@o2Y zP$t8LjWF0posqZ_d^cM^Y{hf(3${9^H{@s$vL_g7j;=n8|9G2d*f2V`0VT&OKh4IK zvG>|V853`D_6LgrDnpGLcdM5r9k6*SQm9Gizf_af_^p=FCj@!Lo7>s675mcWW60FY zo&X_7IRj(ce#lmA-DNW9^>%Dfe=@u`K@C1C1j$F{dL%63#dz!dI&ei&-iU(&P0s=o z=i&xgiuHRSNkhHg$7u{yB=#NFRP$XNPH+{vnVbz4k2x376buz&_J|~dybuLj$0?gf z@_P4xtg@^k(FRwgjhcqh$-mWjTgPvNE2DlX(gw@eQCKI8ML^?g0r>iLP>R*ZAaIm7|`&+Bb( z;XiR}jV$L$sQl4Fk{^bV3+AcQ)CZ9j`>9vGrKTJFE~x3}w~?atyPuHdlm%e%*goKE z0Lq|{K94CVLg7TdDNrR`I5MtPG!@$>?rOaYCom$iwtCOOL%bOcs@n#|UyIl~x3;4A zpVZQabb{gr^BBKg?=l0U`(=Npqo47MM?W>T@7K-=k{Q2TKzvif-iGWjQ`8EiTv!C}MV^Sj=M5Yu zwdr4FPbC~$`>H-#nR4Nm-zEJ5Tph!-;?9V%>uk#v3q)1ZdZJzO4aa}j+K#ny?xGMQ zwb9@GoV}Zcu!5@?_f6vy5-MtM?q=l_d*|VWr;rHdGp%(_J-Wuu=^Sflaiq7|VM9bw zq<+S@pUv*n4coZXCSm?%`KR|Ye$?7@jak!v-`0S$r~UAv1K19A!3V5PyE6ZpF0y+8 zi9l~QN=4cA`B|S|=3&buG=iVegG4^)6gWDt*gFkKsHH7?xrc3&>~n10;ID7ZgZR>1 zPJ!$36v}R6Kt%;0jwxH+pg-H)x|^TI1{Rsa0Qm*TDIit)=A0>x0DpmZt6qf~_wI$W zASR`;Z9%(-ZB<_))dq4jQrTLNMM$r`4FBWrD;_qIT|j8w-J;P%1bq4L6{`eZu}W!; zMl;!%_@abf2;0`1uU!^Snnm5p;!@l9{bxzXY*3jh5Biirx|>{7!mR#*`S;`QHAurI`cG#%FlTT$35j5qEPmi7J{k6VRiE(BR5 zN@ElIoC?R+?_8+VSS!{s5Mk9xs7|5rR-VNOmr4DSt^1X(%wg6)3`*7hT$UgE7^gY? zC~#UT<&1*DMl*p@M&H7?U5hG5@nm`-x{qZ*${f9Bzus7N%;rChp0%wVaOHuZ7sSLW z=V?1Q;A#671BgA|i4Ss}Aun$?QYE#ipLQ8fn;GyzLAH=$l2HfCgxcoc<)k=zI#F>B<;lemSUPjM zRfWGJqs(j^SdgG3s+*?r1ik{=H^sGmgD3rYMhbVKrK#2I$FDA=^TA-u7jgF2XdOOH892oL{RHS zc~;oGFM0VVAt9njH-dvrN$|2zSq!QIL|gYG0n-Le{)`5Y9;O5e3Ptt05lE|E@qMT8 zYi3eCkB*ebwVe`|e|>VF@-mIsCo3^7lIfijt{R_J2-bXg_FrI>?k(OkFu@65+w#;A zQH2w`-no|eZQVdSwTWB0n&- zTf11-e1||Xg(9`?*9IIKE5+p`Z7W#O3M;DpS)43=Z*47AvbZc^yTiXFr(z=ok-uRR zwK3;n$~MD9{@?LDVApPdj=u_ts-Xr?Rq+=yh$OvxUpts-)Y~wym=rQAD*j6U{3aoN z>y)PkIU%c}JDf97uKgcp?X=$GIBG5sh$hy7mdj3MxGi9)W2bhb(8b+P?Rnkg zMf(SK1xz7)LyD2VNf?8iGiR;*o7dUN#tiyA{))KT!z(WjklJunyG%)ApeNn`p`#k; zNw+tH|f)L#~P>6FQ>ZN@FP)O z+a&2?7Or2=(D|m(qxI_@-BIQ65~egt*?+N7%0D8l1VntAqmcdH%x5K%X;J9*{<3d} z@oYHnC_JNj#UrMrf0%h*Gq^^S!aE3nGysKqUeC^7Prc5OPXYlFXm?t!1zN5(bt;UA z$^Kz7K9nn9vPvlTbB7xN{{w3<`#-qO++2ozfoMfVr7XLKi^89S#ZL~y0EmG$?u&2r zv`!7WbOWbxZia7Hhq1A*LYWP2Ll>PT*`0U)E1gyiQiNPU_6{U`GVeZd=`#5kCR1yQ zJwJFUKV$dnElC@FTzn<|V)moso__=YV-N;l3>Fc&w9sy~NXSNh5B&e?c5XH%1E-3i zO-M%2`Qdi`adC*N>khbzV=^VhQSAybo{~bwU=0{|9Sa+?@I>iM#G$ByRb& zi!?ANIJkBen0BdO^dwqR_!BgGV4x~H@vuC#D2udb9?2B%J*7XY?hRe~H=Xp#Jtlod zcS{~$+s&i)?Xj`>;`K1E+}?kN3j-VS-T&?T+i`qmYtzybb=qQv5E{Q|T-3=dv4!YbB?? z-Q+>B-6RzEZh06$F)Tu77dS1CW;iV?_cPdC?~l}f85*~tE?MYuouDka)cE^kG|-gG{N-U~#%3{y zg{QZvFwsrAGL}NTQ?xmr*|>krX^%5J;k*7DnL9Ty?0@%&X9N7^`mQ&`IWG#1HvY4K zl^fmwp^0tXtX%ISsct2HV)#(Una`YVldtZ{z8h3g>%4a?POD>KV)!1>Q)m{^qiY@Uy2v}? z^`ckA>kdG8y^dV2KET3-XcZkJezJ=9{DqZVDF|Ozk0Bwq$|5;bii1))BlEt@ArxQS zfLvZ2##^{>p2AgqYcWb8L3g(T5TL&&TP|nP`106aq zzzRy-P+?2?UJNI7qxhq(>|#U~HZXy=2VfSYOgj;?ua(BC{Af;@&n_3cnYL~j_JHYh z4yF38%r_<>3HklN!D<`@J{|?Kqsi#{@wqz6E*=Hw5Fpc*nrbD)Ylit>Ub#thDgX#8 zJrD{IgzGh7dWDMig);m+BXsK1qQ1(01)P@V0>>ha1Ge_!zHBdp0nWmah{yGw*idCHYJt^+1$dq64x84gpw(dvR+}DIxKp*@uATHzxyD?ET$Svyi`52h4Ge7_QpCWN*#i zkdY=WH5L6E+?Q}m&*d9-P&VFV>hRlz%S@C(W*O>(Ug0f`Fa6SiPkCgxS{@b|^UsW{ z{|5IuimH4y58V~pW0+_(bN{(%K=?7s^Vhn086;&Xk7H6*yeE2cm{AsJ&M1@YyjNrU zw*@H(jG!)|yYxqg;g0X7Qsiy2QSJ);zB|k?UhtA{3x@PwK zWXh5*wQ_BIg4%A2Y$!1s-61fNBo@qrf{PDe6_wvZyk!p6v+o({5*sp**hAE>-3#;L z#Kwt>t060y!BR)(e1p6CgT9ykmU0|CRxGtLs*DI`sW^^Qz`T-IK;UiD0jj2BSq!q# z?w4y=YsenVuaKG8EeD_i4V~kS9-SJK%e*w5|KhXST|!*3Y@n87rXg>D$-C-?`cA%H z;l$y48eq_e0yM3|Ce{%!JQ1X=_vOa;Zz#$Ug3JgOO-pblp z%7>678V+4A{HMVH$~QH=ln;<8%5_)p=RmIVVCR&)nN=tncMJz`cz{NSTFRRnkS;`& zR6mewSEGZY9>X^S2od-o zv4nW6JOhQ_of-3vy|G7Mbl$n_)P|1RRZ5aS|KY3tEt3<;d)=Px*0S=>=<<>_U=UJb zoAlS=fHR~;CS^wHIAiSH(w9WJ%&-+RAOd2a^9~W8Ll(Zic|po#`il?!KaXfOQ}dT( zbH;`#M0>^e-6sEvbPZojV_q*)lqkKbWsA8x>}PUmb*y%mqTb28fj5`+WpZlPFqWS1=p6sVN6}8-rzoFp3B97{9^^J`fnt!YqSclP( zB4Wbo|2@X*Ft-av+vD3PjnU++sx7Zs9Vc=0QlY(?LidZ|uT4(+j*U(lbjNxlgZSV$ zTh(o$ABqYeJ9tCf-{XG0jC`p$Ue44pTi(TzT^$61$Q3MY%BlAIc2*}(LBUO;H8K?U zuLG(&5tEZg5D>w)bkag^xU>Hz2vhb@ju#E-Re6c3cn10m$Gk$E-29G%3{)dtMpq!x z$TtMig@kAF1O=9WFirk&%>oRi`S}MN(}1D$&A{Fe{-68trbl81AfzBDI}(OE z3y&tQL1VraU6((Bj2F}GS2bq1srci1L+f9;goHLaK-r?7-!>q_1W`}; z@mLAGXH(Oem<=FF;`16h5ti{@M<(F-y+z1GunypC$Fj%xdLo^$lb6wEhh|JQo#646 zmC(fw{k75t>(PY8wkVC+p9PVBrDiZx*?nrxljQ=yRBDV#CGLQ-P4#Fi%I{)!wfFNEUS#g7qH z885Hwb+o;YUidC8HK){Gcf1P5Zc2u)Si)hu157`_;@*i_O!|tq-r*P1W#TF{31^?V zzxV_TSKo==xVd=zCN#+9F1Q0TL2u;+^lF&`S)uGHv~rRf`s=$(O`?bdg!<7q$0Vvr zoNrL5(p@ka(`|?YFq6vLI@*oyEOO)9T3_k@{)380Jr9WKQ^&K`v%B|EA zI%1ZAOoI!Ky?nCsRUa)0!n^di<0Zy!{=l2!yXJY?xUWrX2bH;#wyu@k()M0zI1FzP zu6mWDRtUgG3lE}Ahz=@hN2Noh0qvlGhO>Y^teSE+zU z_;t*x=(cJ6Ad#~Ord;MNro6^0o4_J^L!<7R#Wc2F^11>`n=;aY0CprQlc5W=`_<{U z(wp0CdpNb}NgM6xpu`Jg{>opk+wsXvbaI(6bHKEM<`QXl60cqg5bYuTO^(#(4J7Z6 zHGH?kP-PR*za@IKy8AY6li+Kf+7d;MJz+9h>-J(L?(T~IItya*xaF*uQuq}22)moq z`Q=`6AnHM->(6_K)IVsYPU5b8cw~wbwdv!px}!Lg0)nG--VhwawNPmbOnJ{H zNsYK>sXkjyi>T_g!TJ#Lk>m}GP|!SItji?E3+C_si1*Y+(U(EGem3?N(XZR>lzpU2 z;d*P|ncLM1(VdEAIRm}a##R%l{_HcKmh8Pz6DbMBm05OmB$cifx z_33y_Ja@LMcx&;Z4MiuK>JEj~dYC-ObrJ)pugZAe-F>}LtZc%1%Imb4V-yxp&-}n7o9CipHIxy zYhUoqUBY@dL9#NbMJiXI0zF=Uw|anT4!O|%=S7~C#L1(4o0xReG52FcE0cF>`$g(k zZkqUyqN~E9^Di4x#Qy}6B#ubEAKa#I+;%Es2Sjztsm#4E)4i{0zLcAAs;r)=_)UBk zUpJh2)Kr1Q7?5A(Ospotj~2~}(2#lG0M%90qP07e7sN-V%&Qmf{$VWll7bCng2)e{ zdsL$dw2#GuJ#xM{7Y{q7d|Pt9#cw3;tY?A3yae6jhbSk$DpT9wFTaN9k-qH&L%{Du z*!_ndM~mv|?lRAgj5O|%5*=wxtLZU$Y%~|h#wxBL<~N`J5tk1t42M>gbFq!TA7&ee zZjoA=KI=pi6tlebBWUO&dxfT$P7>(J zw)4>aO#kVHhyr|R)Y@tUvwCY2sXBdAa*Lz4GNYEfm~vCZpq|Tfm1fIx8*zU`zRNHR z$*g`MZevQsxrAsVH4(wAvXHwX;*Ye9;S6hjoBSQx-^PyCWGLb+E*`g2=^Gs&&y zm_pkF(bGX+#W43j;;Q%T`TQDl(t0I+q+*81S}v2;pwX~1(1x6>HlpK!c;D+!J^YE% zX5@uj^glWYruNDMbv5#3>#*QWZ~f5vzN?wgGjU z(`m(hy01g<=Kx_YEA3@ao=tO#3iDVoDDI37QnZH+k}azmWu_oCN{yRNcBv zPI|(-NiL&fc8OaLHdwpsBKI#AKm+M=nDt-!8*si;9wz6;Fmv74F^e5+B;Egaod#={ z&KX@3H%i{Uef_G1%EepM)M-NXs^-9$tvi%b$#uyLAIaYNv^b=e<9k%1p#(p39j>y2 znnn6O_u%oJEN|4lo0t9fn0^8$>d%Zjn6orn=|v4(+&{jrGP%=>p=Jar=$?OVN%cClbPTUJm&DRO%Ml1g?a# z%-8$!C_t!vVp0Kh{JOod_!k>%WR`7SMHbi1R7N2as@{t#syxGB=>|)mKo`OBk98{? zo}lFIhY|gZyog*)jZ2L69H6OCEck}x7BC%wEuDGskglesv5mHrQA1A@j(m6iJ2TCl z77pT+7EzXpB=6lm7L=D2FiiU+v0;RTge&e_h%7*)kj`=QfR7ocdgXL74MUAH6I*+0 zM5Uy>sc=FCmPprBDB;GNQY$9Yvyuh2=GY)_|Bh@;$p30Igs1jie70MKYdF)S@lKiI zld_M|DJx+8(J5y$53F3IWrkg1s0QBQX<#pm4#CkMZh-I>yNVwEy7AMTrT$7n{LSFB&%5IL;S%{!x}z7R~yDfm>kvqd-D z{_H%x7ke83-C~I!d=ZcK*Ooqh5cPjsGgl&=ymtt79@$MmhJ|4%#`&cwhSpm`*y*>Q zFC`4K$$pM#eMf(wYVtw0`i#6n-dl;%f^6&8OwT%kS5R}eER~&6BV|XQk*%kUF@sN} zq-&%;?=p*0IYaX)V1?+HC8Y?Q>ZCG5|8p-@{0cYtrGM%^-sO0%=CT*t22eB`ph*=UZ3GUxocqte zg_n?0k8-q4m@c8>Y zgCbDLnl*p$x<|e56sL0cJ6BH3%x&QIGON~Z2!nl(t0vR*@~~(AhVCPAx2%d_+By%| zG@9U^3B~<7INu|sdF~WlH<5&s{i&I@pK~RyIwwyLB-BNVYMB&;mnS8opB7m8G* zf0$@FjDM;tY|%U0}_e98_=k^tb=N076{2?7h)~b`sos;2s(=l;rkjvyPov< zD=9jYS+dGqR*e4aO{Cx%@2#(Q-dnFU>OID;aLnQdYbX;-)sf!y)(i2LqfDPJSVm;K z{*nnhfR|gX;N{>bXxk^E%1qZ^#oZ=L?=nFe)L6SCklVxIB>Tr za+jm#9kwis+#zxY+h<+RIlY@kubFkH$iPJd4kd>^!x#zdQTG&T#c>zS7s={d<`;V z&?;&*VW|*9pkZ)hcY6Wv1a$FjtxfcTD#)}${5yis2eoZ1dcX!{ZkPD86>7K^3*W?( z6>4_^E9I0ISjxqs?63xzthsBn zz0!?8Or<(n|6(n~{)@HHxzUnfvyUudq^dQv<^h}>C3B|Nr7Q$|kjNXraS5^`SPI;4 z3e~7*c|w`3CZMDbsU)kVszoA^&PMJExWzDFX!-Gu_-(^f>x{yaI$LiM{ZGo7BJH_U zJpqmeM+2CP|1H)OoLlMILrp=N<6SPPhZ`5Duh zDu!G=3{L$FP6vv+>Z!=mcK(XH`-;1z@DIzC3c3%{nKQ9R2=@%aL7Da%f#~eea&L*A zM@GLUVNJV4DYW4d4*Q{PM0%@MfwUx5wU&2DhFt81`Zo3J8hN{XQ#K*Ljhcb@wh@SW43W{z{^YUaV)S#-P%3cfg-)uIF%w&JeQ zjQ_}r8$hdsTf3m@8*GNT6=0D%R=v6iFOYWbZFu1MpIScy>aP+C z{Ou-p9Kz5gUY*Fnp?etO{_OwAapf*oi52?N%55SH78wZZH5SBma z?cnJ(g(l}tsS=2Gc(Z<%5SI!{NUh^0`l}zf$cNs8eU-W12B~_pnmyh|So}P+? z?Hh;3iiD_j5xfNxM)M5@gUbZ?WSJEaJ_(gnqrYjZLI1fBk>jB9?g=%yS9S08>kl~% zLM!7}&-Cs&S1pRT^aS6;+gI_BhYXAXWc0jW&zbNRFvuW7=(>yK4Pk=f6IsS+|G`=~ z!#9&_{}*fFcn!k}Kv~`O57t7ErcS$r#~*^yve~)~n!v8VCpjq!8?r(`z~!F@>V!gMhzpSgY=n9FO3exyR^2>@*R~zv7iOL=(sv^qKegy~UKR&B8|2 z5&VJ=LHd_+(x=3CGa5gH7SaarifiHgZ1E&dVHVLoh-^kerk0g=XPegLWN5B0MZ zleOa5!ek377V9R4Z0ryC&ndOAH=J<{`aDZQsdAX*P2s^7>=H0XtYr~@*?Rov_;##e z-AlP7^u|Jx@>Ds??xtXuOM(;ZX*zV|buKE1Z&V0+N}+mDQ{k=3S=<~*KipMVUGMCh znc6~ZVfVG1CGxtUDgJk3_4Gi7HOT{ZdIaMHZH~I4F97O~Lf&!5k%uckcmq0u{d>Km z(6qcGbTej2&6r^rgV>!B-(MJt^3v=2=|0Aq7NqMhm^8{*Th_VSXr5qms1fTo;a9W7 z=8|}L&NQSe<{k^1*yY!OnAxudj)b66UH$%+M8;EjYjyodY2Gov7c1F!%%bI?8UasKbExi9C(YIDuRYcGDdHS7CpBk$^A!#=q zwwuuEkw?5t`86H&9KRFA5=fC}B1@mX@0viubuK>A_j0lk`CWvyj~oP?VwsX+BN6Gw zL6BT3surg6lh8d~MNM&Dc1EuG@vcO9dIWHu(_i@#o&vU)484jsho^jx?<16r+KKm9 z6w6l|qU((PeF{L8Z+MIo*B2wauB9t8c|fmvEvr-HT_~*3$z`a1Hp^-8EP<^~qR%5q z}+U z?lVY-aBBvS{Or0e4R*mW-LXrvo%6HHPW^AI{L2yJO-;tk|F__`R`}=0rT4*JRS^l9 z7zqGC2hgS;D4;gDijJTF00XZ904e|g@X_w0jlG4jiTy`MQ)Ab699&%NG91v4PSzY2 zCXUY2PA%0+J=wu52*<=g0H`gy3O=&zTge7)vv8rw9; zanVPA&r>hoqv~{c{ndtsJviKG;^Jl4Kh3%(LK{_v9hiZjg(j-m|GbSq z*;&l^+REY<8#XKUjIy}#(TCQ*-wPXs)yy45 z4S(wFV%wX=Z@8}#t$DmE67<{mYo_$p%9OIJQE0B~+w9*@?cJ(fq?y?17BDI`Bhg6g zmGKc2W+5RCwRWD*O-6OrDrvfFYSN0eCy`~n+E(j@$~F=yfz2^~f^Seipa zfcw>P;H8=TD%mX3vtajFW-iGDav5}G)8ImG0;3|#Z}OOww1v?mQ@%YnXwgm#JfdgP&i81_16EPKQPQ`c3zxq2mZ% zw@d`D;zP(kM~}pT3v5YC_%K)dDK1UgbR@^+4o?A3VPL1dW*zWQ=g6k3yFxokPdmTf zpz^R;@P~w_AZ8H^GTY0o)&-Vr%`6zosgT?3CJTtsCBu4EhQ^K*WVx<69ixn1mJZDN~DS=UdcHT%hHo6l1b` zY6>k1%V+8^HLC-hx@$)K>riY@eUy%mOKTa;*He_H2cy7!9P;Z%OwZo>20pOHBW6QC z>kJPB*bHl&tvc+ewgZqxQc&}!g3#3JXh*gND!I7d{v=#CA^?eg^!+3~Uv~{(N&bc{ zy2>`p@lh(_P5dGX`EzP0PgeAdG&<}e(Y-kb%S!waSKIKfs`M7J+)~fqrEjUG0v}&t z=ISR@|GBCjVt!gzzI-RqN6?RW`Ll#0!HTktos|c1nX2)Qy+`@C`C^@Y%0)jWLUa}1 zyM5erQSTbDjkjjCMrfrDYm9qu4j+Kpx2z7k4_54}J#Ri_Z6jr(F*hV?^^u;LDC2FB z<0Vw`aVC3`jlU`qvP^5!c2yAy9*M9aCh@?h^}~;8RV3_+ii@41s#h}fb9hI2(SrV+ zXJ37FCRteoI3n2C^A(`xViK(E?Dz2l`I9wOIf^j6G834hZ1av$xKi+2Pv*Ni_%+jq zl?a@l!CKNx=KFmvW$`?Qs@D(M^&imaB3?`u$TCni$LDc;#ZFiTA9UEiW%y6YTpUj$ zo1H@o-%tlv{Qa4{*7wzN@UV@+z#?LRTZ4mPxlO#3>Evt+f8??UQ~MSBZh<3O^rHgH zan5f@X?~521Kv`iYVA0py@w}7iPIXra}r+dI{!CZH#I{WViqraq*KY)XCSizXL$b7 zd78d6MMK>#-KB<4jzzC?RG#BUO5Y+NDEy%nWgw?j9N~W;w15LYZl3bB9Qtc#F(7g` z@)6KC0HwDjRAEIWg^&h*rg_>Q#I)=Rppw!$E5-Tvf-xXRpI<~Bgk4Sjk~9@vm+;3R zJBdwe;Y^2gYs}gaI#A&)2=Z~JBTaEJ3MjXtH+L?h+p8bw>@dvP&af?BpVUgTMIv{@ zFb_=0baCB`j7-Vy_02j%}X10gla(?-GofUGJ4fcpPs z1~jZ}tTq0z17Fe=V-3LgA$QM_GUyoikZQ*ko9|nk{_b?th$-Tz#1Zt&^jJFQ%Lmr$ z2i#3D`rRPVi%XWE%TOoP&4}!va!vcy<-sx@jraZj-7=oB@WakSL5a%R^YLxdG85kV z^X&nRef#xc*t+-aDc-JFde_CFqJF<@)3|S^kL%<4#Z{unIiE_->YwZL$;5SKzjaYB zPtV8u$*a94ymjG@r>mS2;~Y`1=jp3y>$lm$p1z*X_cwepFHhrkeu7;)*H^|RDl{E` zr+cTZjXVCXkZe68pTwiV)X1Q zc$0YDRMeSuT_}IJc(7;e`{!ZtcSVkm3wPzv@!jcr8t<1AH)B7C$K&7jog!T)s~ME? zXI*L3a!Y@fSsPPL;W0TzQzr}}?MbqEYkq@270o)GkMDcP_`K$whEHO@7tzpHI1>zu zbuvup)v50=9sm<6t*)Y-M|Iz<)0ZEds`sDDxyBWwH`=fiAuU?ZG-%?01M0mi2@bS| zfYN$wH(OQj?Tc^`?Rz9D?)E;PF+lST6P3~8X9O|kc@nF3457AVW7y*KaT934kIrt> zyLpUpM_}j??{!gePsUnJ8H)>53+JRk`GSNk6FF=#(h9B2f|IIGZ+e$)%0jSmPUAq! zW;0ij^xJC#Ix16fT@ArPM*05wUzHNfRQO7f=?Br%1e-6%$mD&Zp;x2>4bxaZz!wGu z#kNoJ@*C_k^}WJ^T$Y;O53kl?0yXv+=oJAUO1z@aUlt8x%>5cAH;_$`i#GhU zye%FJ`%SK{ZQ50$N{3c|BCPV(mJ)fH5o{yG-|ag9z9p(d&2yHk>ee5qs?1JF%vGU( zQqDsSyqb$gK=YXc;6Q{x-mUx8{UaXLGTH>Wz*5 zZmbWr-2SWu(4mw)0)0Zq%lr{EYwRMCVNx|f|7jw z_*f*WloKG*Chd?@|Z}WyL6dK zt}GSoHc%{oxj@Y((*}-oI>QB&Tm;$>x#kBR>SNIDJ0Q}0dd6$i8H{S_Eyh!$vm8U5 z54&F?TbLV<7Gxb<)}1{dJhMm8@8o>x)lQK zhe#MPoT7NU+9>>9f52;uLj0|A0l+Omw{V+gK;`b`g@} zAi!?3ULFzfb^?hKyE-yl(b)fGt}ZFn7RQnruxv}0K)W-zdX%-QJ#;JMAEjyEP&7tq z^ShhfPV@KfeQr*?rnQv<$_7$yz8+0HzNO)w(|lCp1#$lsOw?!j z#lQIKuq4v-(ZoXoo()Xl7`wLPD$HQfB4 z@D%TczNMz~6!jelqGAI?9N7ZHI*lnOnh?P3h3z_at$?q>a%s)Hd(J+%;XZ&;dh3M` zfCZ1ZA1oN7pQ{56GyC2Fr;6mzC-RCHI%c+}(3;~V*u7Ybeg1qPv2We4$yOW$1WOWrb^hPsLa;M`X^-~e#OA!k%V8EZ@Qt{2w;Uekks zey8iz7O%T7a`lJ3a+jX2-LP(tIwF|jV781AzeS}X&v>R$8L8CNoQ>uF$o`bnqD zjfp`oOcSZ$$mfT^9ODg#guUVC^#(zr2-CmoTG7X*XNh5bf!D>KG%Dw=Lhhe;Px5*H z=#`=dKaJFIUq$j8jN22wEz~>fz~dUZ4zcEQ$1=sNnORbc{%$^hg#C5f6u58z%o?mD z&^;rD0r#bJ(ZGz6&WDDmf)TwE{iM8HT(uJC$+JLm*u%S6%$eF23Bnn~c&GsxIl3bj zZeb6?SPyW)HOoAFBe*vGQ}zbHf~UE-GAx)#BEK+{Jq`)(n($bu{&sdR*{0A(Pvjbm zDv3fRRFUI7c1~}Jt61aOjliwV5myTBlN>Fc4MECKq@4%0Yf$2Pe?E%hjF%7961-4F z$`f@Ehe2+`m~Id@(^-2c397Ar_k32;WD01i4Kbf*v^^&sTqt9oVV%NY>O3{B`Q3sP zC~DQr7^s4+eHBQ-pG%27?|npR8!$y12+5PtKmT3$q8>E<28Z5!)oM8}R1AUr^Qba$ z;#P_hm&qF}a2DH7Uu@*+NhU%Y+H#W89)uj$X_lUYuN%Pz44FMzfwrGYdMI5LuI}F$ z6O0hevOabrWZlp1TB?J{aUEP4)9ejQKUjJG##VADj}hwEAkT>ofb&OB+RmYS4^aKh zHa!W0Fd8Pu!#}W15zL4K%TzAbkgm4EGIKd%3ec_?pTMU$qJ}GnM|7LG+k$!|I zVxq7JV;nAfj4zj7m80fR`o%G1a6c9Sia_b6TU7=$f7Ssnrq2ccnX!ssQm&i|=E-K3 z@McNP3Aj8f8y$s35DmFjEx5T%dP8-0B3GG>0jo3xVIqQObYRj4R}`iX9#tF=p188m z^fxVPG;wA$)>+|!m5G!$LOW-XiB3PQpannG?w*R5E&a_3 z17D2k%id9MWwT9aG7-#$3-c%?4R+lie1uk(P~~d~P9TSSoX~pGO%#sRg$_WG&?dg# z+9%-Ppudn&Xh!eB-I^b>*vPnYPItG;+_RU`bNMI;)2l zXrxQS-W`E{3Ds)i{sQeIu(idWCqZlm!b|Y7!Cn;+SM2h1T2=X2jd8)3zA#QPf^<1Z zxr-1`Tr7e+d0kfEkq}!&5YrV)!-{=N;h>Lpk z3IiwV7ctM6(bw_N*Ac_z|ESU(LPR|hKZ7RDV*<}#UQ0HKn~GVz!;5d@%MLqZvPp3x z4n9K*wx<<`H_Zu#H@*0Zz|vAyV&7MMpH%$bSEu*Nf2HF>*jvnQ)-hbkl4>-uQq~Y$ zzUUUM@S;le8{RsW3+VAV(5^GC6dl0_5GC5JiV46}oS$BmmO>`P&%hzD{6UILfv*|F z9aSg_oEK%3>=Rdw7X5i;FRR0hfa3m~f~J7bJ#UWZbBxmBdwik>LIstgLbdm4^$cBk zRHpP9C+@M)d4Q#;_SR4z@oFE1sPKT5M|YL>Y6pef3s%NQdgI%?gOB-P3wN$IN9(r1 z{-WZc;-3`|#0jW;yX$2n2{e$e5zJ8{;Uhrg40+3q92p{}xQJ?`r~4whj~r@?kKAoM z&;(d|d#^{a=yl!*c`o~ui`V~fr25XiCU;j=mF2J}690m~+mtjCplU_NJOc&z<^$x6 zJ6Kr@%h0+lLR1D3)@c1$J&zEGH;PqL?@@Pe{}O-XEZi9M@gW0XB35=w9Z;QbtG@ey z(xwiDM_aR^5LUegVP487n#p?nXg3is1PzD!TGBf35Mu6-H@g%K1dgiaL4XSXkOuW z5L)Wl>h=bM9lF!+)^v!t6w;Xn8$(0Uc@73CK!vnu_tnKlw@);ZGkOkqW>?5V8`bY< zn5(_3CFu9 zQByoXH26-zfYPn?O&QZa1H>SY;Gkuhh`3SyF8lRHIl37NfH=qAA@nQ=z+y>+@!Xq* zR9m6l!RDFjiT?q`q91^{_l+-iCch7n7rX(AW3D9#z2mWfD`#bVVBX6=(T?i1?0l^J2z zx1XI^e&G*4sdpzxvyGPOv%#cS;_V7Aiy4ZR8!a|0pS_06_ez z8toqbVdG1U>$CMbaJQyT2(`XWh#PzWvG{2ZN54s=B>%?Ira7FVEQBje*>s}Y!uBg5 zd677LX|P*bPc|gtWXXo&{de1(eG{}s5>U(2WTIFPF>m_+&7JD%mZRE5uemhT&%K3KrM5oV%1rzE^)Gpp0S zSU%}vLn3#n9dNFlM^S==CP!TDQSpw&o@DA{Af!d_E~9E`UKr?#zU!f>zdUmu6$Ev= z>VPNmJ=JeGOsL9e`YGJNwFs%TkA*#pRuF1I+k#*aNrsH3JN(6?+fXGE+cVl#Jw`rt z-ujNPLLqpGb8y)!9MAqOdPxv$!N}GBB*c2nNNH#}%K0l$O|zG6;+A@gZ4at8+8Lg= z^j*c}VV^8b&CM@<61+~S8@(@MhpK+N)buk)0~O6Zg!1!ZvbSb$XFQS(ddu!f|Ecic zB2sxMWHI2&{T-X-Jgc3A;FXXyRh0~wrD0H@@W-aOdYh76pHSYmYy~g!jU)x?^U?(sTOS{!FxBZUz)Hl zTHSQPbm6Z$M`6C+1Y|l&m5EnwRlvSI;s3D3HB9L!x9=lmt~KZ*^@hzu z3U{*8K3p2h9v$5tz5Jup0s=2`wUrc{-{}8sJ%G2*O{(!vxp~-!Y>OOmRO$pA#8En` zw=Oth^i!+Grs|`2GQ6yTSl7pCx8Q}bttW)dCkgNho>2EoH+U6~apy@wP$`c2 z{ngmhOHzw8-}?B&Dd;`gnc;YKsPW_WvU36UX3_AVNfRf+A*D`Tpp^XS*1IW59xo{c#XBYW?DzI|3wdFb4>$DmI8h5>{YtQhkq7kzWVK zvpNX;Im);fRT}f@o=b>q6tasfKkK-6bEdbPMheWU@oS{`Rz{Wye0=BU=HfNXP>Wy`Zrf#is1_eo}Euzu;iwU?XelsgI^U_WXUjfLvoHSx9I zMg?al=T}lz$Abw{(`?FRuIig0d}e_8O#Phq9|E2E)!J{l)occOT_#Ug%C){$*CG}6 zRxbsMLTFp3zI46) z`3e&JS?{9>Ly(*U44n4dagFLU#~Mw`f4K>``kNN_BQX}niH~(T%%ZO1mf2L*w)kts z7m<}!eikE(Rl)+yPq~X1>D1ss!jwdTPI^0tlJkXzg(KQCtp)~$qfaL9y(7@cno&{y zl}&Zxw)%oFZT`=NoxZuJu9f?FK+_UvbwzD##UAJHv=vC7m0pC1z@Lz0+Hy9Vw z#c{(B;&*~pw}`FN6I%HpGJ@$b(VlPgEM(49pak~5FzTtOoOIyzOfZGmcW1`Tob(K5 z9x*VVu5OhhT^XkpAGj%8j}OhfS7j7B69oS@Q{i8|g{`32c8Gvg@~>x<@I7HuerO9PcW7}u8%G1!3Y^_O-c6F-q47godkE^d24;PZ6nGR02TFyqds zP^y&Y#TZJkD~Iau=&)tXJ$NlSycYVLWzBdy2Cf)9z!RDf5HXuyvLI|x7fJrtm6<8U zYC~LV0jjOFy#66XCWwS+U+=Vu9f#{khZflK^A>SFAm|Po{S0BnMwb-*=9&)4zV+}f z?dB$I-~g|z2mJax8};fZJ(Dam(u(dj;101xX0H@JXrRI5uD3QgPtJ^C%^#;9@yC^- zq*!x{?Vd_@p)1(9GdIV`Ul%fS>U2k2f4=ZfbXcK$W*5Ol&X^0+gzHM*<7z!u*>@{5 z@bGs|Q#4YG;eqP)F0C$2Sc$qq7&>7Hg-g$tTU61-zrDMrAaE4dak#+^JU*#P;&y;{ z?jP3=M{d*Q^5h#Tw&O-YRDGHKs3k->ND6x{0DIus6p~YSpNl=CF3#N##j)dw>mOh@ z+5@9pXE}D;h?`b^Ik5@`_(GCbz-(pl_XUKhkMr6KJ^BB>RuZ#-ds}z42CL?J&ZzbW zj0zU(bFVeJ0d@wB&K!h}cozlJ(9!73zbVhf=6a{UB$VNr<_xuZ4N_?ansc>84A&1u z?|XvUOK)a~e)UVZRd^U1xNT;6m0sg(M*ic@lzt{_UHv>>NJw zI{9h|Rh9}`Do20FAow)I%a7xrS;v%x;W3Vjs>Qj-MQdRt+#;I3Z1V_Lp!+?hXQKJ40RZDXW zpPCZ7+!x5-v3*5#P$e03KuSjTPdYwGcU8CWry;*c(O3_diK2+hN+}Mv!Y3jjh$ljC zn*y20PlFOnMT;gEX4obdf}@2gc_1E5D1uvxwJoUWd2dS}7QD7D0}>gvrxSp9x0%xjc-(N1v;ZC-8MMLs~*JTiY*thvptjYYqJ?ABCE^dm?Rrhs5`OosFVXm9r{(HP| zs>@j^n4;~J9j>5OzP;&|@ScwC&og^EPK=$Vc>nWdx!j*GlkeI(qmf}soquwvg$lX! zQIIXxu$$GN1Z9(b4^)e~ZoalYMe8UpK&wFJ^n(beGOp22duIw~2P*@77lpS_5`lZh zc!L6`(xd_9u$ee!0dcYcuuII|1_O24-k5q-l3{EIgq0mPjn#Cc(WGjrkj`(`S!8E5 zd|?S!ZuDo)4?F@ztn2!YYknQ|Ijp`^B|E}ay&aiK?yWmjURnFVW0Bi%9W%vVEfBVs%1CL!6t;4y3CphF|#iJA{!gSVVAPOP)Ta(SzHJisf2%^tV4w zip@!c;BZUeT^}cQDv)EDrgBjdd;<25F>N)e_UZgDwbp)^7HG8U9Fu(cM;KpcC6%1E z=Mm5kzjfeu5UeX|!l@mJEMXBAQvH3<`as@??PXxmi&@uOWU5s_|x%eC=$Z5c>_)ah;BEsG6 zmev+@NpKUVn_-&l5mcYD%V_tcXelJ?M z?mM5h1blr%a@3?wxw6c-POeaLn|_?K|0R7qr1UznJSx32`3Hg=opsb$Yy1)xMEy6% zc3ndxmp?_~;Ep|N{HKhC^*+9yw5zBzJ}!c+jzLTbR1U(+*4s-S`?%^Rb$harj402} zD^e2`SHApV{hfT$?2jEBxFD0Mtt~;W{1mk!CaSUM2*pZKLvg{z2s``C{X4dp45gMf zzrvD{JsI;ioPU7f6rm->O<;x@2KU}Fzxfkr4 zEmxU?nA@=1EEda}5NV9p-^;}|jWF&aqOpz3Zf9g3d7GN0{0ymt<5-f}OILSs?@2vE zwPS=>Pjm!5>4+$JGmKt7Nwu@Cy1GJ2_Ro;N{9y}jN#a!JnLymxm-GnqEQHM!O`F*|sAMg8Ch0RY zN(wbYhJWFp@65aMIkkCZD*D;3mih|dlyJaFUe7%jIbBbkx?hPE zUOLq*XSPsi$dOH_%hxvibVPv26&tYVE+rjAI=s=|X_Q_}}EY;CAfnzSp*hK5Z z@MCXHd3hrz)#m#Gt)oi$HiD=D^>!IWF?)*Nr+jD$w2AHl`u+ovTdCl{jiNZevWuv> z4M5GCPxFoje)Pf&>F9(EVCMj;N=KZo=09Q8PKtGur~&&a?&t_l>pDXzTVsW3XGELD z0W2D2Y(YSc7*x02^g5X4$7(#e^*x83N2jE*W;gk1a{Sw>8bsF;H5vmvSxg>?V5sgw z%HVg0@L^#reV@_q_^U(T9R~EK-}u+g>kqq=GNKGPN8Jfysa5RhhEII8pj|P^4rxBE zJ)GdmMgch_<>z6lic_hS!X=wlBm(gbih{+K`bE8>j-Fw{;yjG%M_z-j_RWb27agYh89tPii=Bw^usOD>UVOrn@xnWk?<+6wn1BD$+8dS!2L9eD(P7u>}dOZv*h zUn5j0_=!^G9Ra_>q-sckKhsxDc%Mc7JavHTx=7p@(41OZe$PR+a1Xp<=C_!b&y4+( za!|d{PEJ+FYcCV#KBa%nU|vsdn6I2M$*|SdE2Dm8UjIFcuRyBYgS*vq(i~YbgCHM% zLTM+L0I&VtR94O)cwJ;!ipW-~y7VO+{;dB^KHhdh{StgQtwdVKTP0; zd)K~IjWt0lr0y@46&dPtUPG9qtrY*_D((zA@dwr;fhbP=RmJrwH9t-NhH)QQp+Vq$ z@FFfx18S7BtfW~hl&gibh&pwdH9bFlG7YisSXu4jcJvL7^cGhQA=GVQO87`3AT8wc zLQwv?toV^x82BT(jXtHRuMnW+4+Dk3^>HNtk^i4&){lBmliZ~bH!S6CZOO}3^;#>_ zHqH=a^@Us{z~j6X)!#&k@;Vv+!=isQ8Rs+((eZlzvAIo5oo1`?N>oG1TErTLu~kZDejB4>Pz3yvch@N!3kbvZcy|cUx|^dmb53CWjUh_@1ovw1Wuz_boAk z%U{u4yUkEuHL|w^9ua+^(Xho;!d`Nu$q=KOQR46puo-huyyXByK~wLt!4CF zU)QW8mAP11SRr&q4L)D_+;l#si)fzq9?7q{d^vgzbUGw?*9al zzd1&T_xj|7+ccAIB)f=|e5DI@2RN=@Eg&&>vp0mzcw`hRz1`G@5#YG>1wvH#c%{IP z8+5Klk`e<{F|HNenAe&ynn4pjHN~15>f)!bXPp(dhP7F{sfI zw@u!0*QAE0@{SFNa*tbx&(W@@k*KRFovLKs(k?@g3t!x;&cr6EHEWWz;)%+V%d8{k zh6QcZ&j-fgH1uZWr|KmOO9f89hWRv(=L7c4ba(FhXbe z@6#`Ub2dqnn+EZ=s5vx#y&Ih^cR=nvqM!&uP-gWOX(bs+xi2#;n?fU5uTEYJVD4Ll z$y@fdKx+i40OgeraQ0PILKU*ZodVcDG`Nn1L%Pq;qoFcCDh}=A;o)Sa4IQef(Jgrr zVf@=4@z6ib&D_DO{XexbRHG|+jUfezwx+#B$x;X$Rhi}M)Jh4ZPAxysPxIdDHs!!NOrH#zHx{$#1$) zKSs#yi*lYv;)w_SMU=i^(@IX-Q!0ABGf0Q{VMd$=64?uz(w*t-aob$d%4B!Y6gcq8 z3st|;?80c1CNg>T7CRIpBXFZ(sdOwZ7TxGZ*hSRj!1M-`O;1u|)3dn!7A0VwCGQb* zZJz~lS7p6_FF+<(^yXKfQ-n-gdtF{mMsAMKvWl200CARGHyh8f6aP5YW@PguP?`PB zC--QZAb0xt&uGR;@fpl_tPx+&cb4y6V`MLkRxdiJVvFJVcHwy3Gf?ffKWx=622xDg zh5ZrZ4p&(;RY32v*M6BtPK|AqU+_DFQ76F3`Ig$k)jwtq=pUxhNc+0z>9DF z?(cfv&$rfZ-I+gTomsQyGiUEPXZD(N_V=ve+AF?M;f6M8qvx_JeYl_MbUYC_jwYR( zwtrL;=NKVZ0;Z&yEH}_!pt-@#5G_MHg z!ND!!>|)OuG4o+h7iMpUFA5YUf(aN_|Eer~k7tT}&D>j^Ys~x>8vXdWUd5_vk zlz_>|4xU2-5;2D$Xag2aridsYiAb~e&< z%0m1rDN54RI?iw-_}=B2p3*x7kE#g5NB)dJpVUybBsZn8#jo~0Nw*h%P?lb->!>Gb z`qDN#2P!cXa1Ivvd#UDYqfnYC`+3GQq!XN_BPKS&e9(z{kod@!nY6 zHUy5ow5(G*AD*g;4{;;C<7Fd4dEVH$Qa*yZL>l)SrS_z+05N6?hT$oTM0 zJ0xUsBVzV4+?&{Cfah~sFrHMK%L>m7Z+-69m=VK8g&7q;vWL;{<4FduZOV+HO5gOs zxXI$abWcM=`oo7@peWa=Q?0S9X3p?lKZ((v>y>?}{HF~BWdf1;>te?UbEr@3x_8Ts z>bNY={guv@9&fyFdN>c8{L4Uz_SVVD`LQnvt35+PxnwvGBa=fQ40ESoFo${F0O&s#_jH!mNj~-Bthtx06d%%)5Cl6D&k|!>5aQrUcR<>+;Q{?6SL5VtX@0iy zsaq@PZ5x-%aPoc1_?=@aDW-Ti1!SDi4Q^}j3}q6acaMn)bF`i~ctQZUAhxw6asXMkVhGdf z6%E~3)xGbtlhyt*y+47>VZc?oV;l+YExw?$+1)9l&ETJl@qIbFtQ){B2Bw6b?=Kv- z!g_HV;5V4>tuViL>tL;>_>DeM=^bq05c*IcS{e#=XA&!ji*emWXQYidNsM5rbP$%Y zQ*b(ZQlW2l?fbP$w6jObxV#R>Z=IvUl-ofnU%-$-_E7K^C!^OA%h2eF1*((Bb1d|s zY_OG9*bV-5}8N7uEFodCQ?;>;IEVD`%^TVYlAvfm zQ=72RV-h;k=%$Cs!BGKm{aXrd=Lo`RQDvIlaB=aX51jkb5ERIo)1_px=7Vt^tD{VO z3brtYE5Bnj*^X%#TOl*C;~%DbIkU)R+WGd>_umzA$~mHMBs;4zlF@>lVf_f2oT0H# z2r=YRY=N&1d>73)vsL&Y+!CiALl7>rdMplxI0EP38_q>1t{)53_kgdAU0xBW^83;Z zlhPMedeN7qiT*SxGlmJThYK#gFcZj)tTm622#VA4f7(KoLzc^FcJNKjR=`w#H22aG z2ebR8EU(qM-%vigR-;bGN^s*SEK;I0mG08z=$MFgp!U*4%TsQ8iJ@{c0qQ{I+&c89 zMzLy>RgQABQH99^nkFN9NQv7rwNY$I+)e;_gS?;1j8dXZr>1+phBben@0s`AQ04jd(=FyXxc=>fk;ts`Y#I{W4xR{`;fg|;7Z*ysE0*kZ@Rd@CuzEC%l& z_w>)}3t}EZ>=U+x`U5RSSyPqlCF>-0A7cSw@<2J-Y8XaUQa)dnpw`0{t6LZ+#hwquLwNXxTf)iF=xRI z3Q4!WH5p+4lx>CR@nqBByJfw&jSBZiT;un8u%$mgaZkh;n+ts1#6A|JDIp{h6q1VV ziG=Jpdl6vYm{|$FjpLS{v`P76{deb&6jTBy9-&Jb)KN1mxB-tps2kOdvH2AJD$ou? zRMCBA>lp^#zV61=&NL-(tj8QqG+1ftsmBsgZ!Lo%*Hb{xyfK8RnXr186tV!iGM2+>d4I%pZ`QY}WB632jjwNPpuM6QQB z*&^Bt{`L^T(5%*{5#bd9zsWsro{Lm$MAA;8;p1h-NKbmqcd|rF<^q_#1`>EI-%`)8 z@7r59889qr)8t-GHtx6I63bR}OmYD1(Xhc9<(|m2N2pJ>cA)zE7{1l+QdQoZ0#xk2 zO}c!-c7NdfIh5!cwOq5Qbj7 z31TQ%y6fcwEe2=yUwG&xCc^8k*zlq6pt$PQ|ZbS)j zwWrm^oAQ!IkFPCIOInI1VlJ@adN z(+Xn{Q-*A1G%;|_D=#JPt^4bY;Bt#H@=sBI*j^~+M!yrBA6em1jER;#G-aFB*C}YM z2XW3rkJuXK@hwgqH|1`niwIK7wVmV;JRnuOW`qZ3Uvs!QfeW75M!wQ&thu-q$eJxo zK=AX2HUc&~+i!I|>`3Mrnnuv~C~RcK9uhQM-sRJn6bwcqjA3C@W@=pBq(e~rqvP5> zJrNWAdCCm1+-BHXz1d{Ph=64HJuSv1s^rC>(j5CPgYW1L=vmbvPSjkcBU zV!v5YI-@%D<7u7--xQbQ5rh;tsM_=EHuCC9*@)e(z$km`amd0jwF%t<-@7QJt;7AP9<%emeqZD1x{`>h@SEP`4l}W_QE*7(3$Lx z9m`Ty-7aCxS6U=rj*%n7Z=o!lk{uAn;UhJvy?Z>{A&Ae;Rh z%aU2B>H3B~3oze&`=D{v_qxe@k$tqvPX4@`P^f23bWe+7;RAY!Nrx6%Mz@nOAe~-| zAvp-m>!s$?%P7hM6AN3H&Q^KXKp6cpTaNp7ru*!UY5vMg7NQ7LY}lg-eUyx3HYxxB z{QJygLu1TEX$K!S_XL>H8(B(P@&VV&YQ^Gq z;%C08CC8o~8(DS5mB;Z*UQKpyh#M(;EWJ3orZ(Lrc?4m-xzDrBv0r@(;7XH_r7slfK?heSOHCC-`5I>ZC zoBv+TCw;hnbUZz8wJ38q@3$WqBxa|s3OOCv#T{t9C_U=lnn)yWz1<~ZYtgnSB_9S8 zEir*%sE7;mi+dd&1|rwEtWVl9n<(?@W(A z)AoyMV`7XMO{&P`F*JouJ<-g3EK7`kb%TZFVbkJZ%HdM8EuUJE zs&lVvV=&k4rEhrRA>B7?C3LZ^i|JUi(=MuR_fs1$M~$Mm2w1c0<%0G_bUj!;$!R=- z+;L8Mw>7`x!@Ev1?tye05#*Hbx#xJQ5>+Ly;J!=cy>G2hxm=(M5Q!P{8BJqzq;|z7 z>eaZzXGccDSZTY=D#FcWZ(>Aq9q9}i{Z zfM%JhT!m$Yc7z+Uwf%v8L4%rf!V`IG3&<<`Mo_>V|^-Wb(+8Qgc3yWX7XqP0AKZ~ zDBZO%rK9?}K_hFUejb0LE~F`~<^NMtf)N1yc?Ez9zykoB-;o;G{YV7}FoOU4_&??U zgkhA_%j~EDfC|u0@uFX0mno0%TiBmcM*jr2o)mD3meK|Da|0+M8NcGzQ2zz@zmggM zglEJ*0<9fk(iHhk-$XX-GMcom1N6#219KJ!GnQ;F*Go;vbQ#{H35k+ zi#s!$89J7w4peYR;z->I1mTLoArv|4OE&opLMioS2=QbB5t-avPHz@w+*-mYyWw6g zoA-`VU0MqZJ#`Uz1#h2o3$b^#I^Uj??0oKD50ZTDF9ukAJs!V$JoZue zxIezwb-cb#+huxeU#PG8UIj(<3E_GkUVzD$)jOXLYFT{w+AmjFKD*Cl2(>@#6zX|h zz04P`z26F%#$NNj8e!4%xwt+En(z_wzWJlD=KVNw5c+OzH)+~+P4Ho6(f6Q`MY#Pf zZrZFfef54fU!jvn@ZuE0^6nP+&f>gG@Cqnk#Pkp{MbYVVdvw?N9QMjISbM*H5bk+$ z{aU$}srz>GZ<<~qd4fv#vm>=gXXSOd>#E05NB_W@*WIZ$gY8NC`RT}+_xXk=OXjNA z$qV-B+i_4Ue_&EZuo*7-t0ybDP)|tI^De;S%))DK2z7nygre_0J!Q!AP6-XxEVxHe zj)I+d-Jk4V?Og*NSCn0{uv;@5Up)EQC=&(y){^ciakn@vnw=b#3&xsfY9+ZKIybqX z+Y*P*nMF#nfN@X%eb;q<;l+7OdaEo;{s`t(2&CZGif4=2-HPbE>G`^e^Oj(^ppo0z z>L13DPcGzSE?2ni*Vow$yEm2F8-NzCAN=HcK2N9Yv}ZWvudc%S!cv6PN`49%qW0Hc z{P@?A#iX%5=AiQT7$#QXvZrPLy689VQMoJDQ_f#1{;?Y zyP7Po>io^wF?yGSzl7||K(Q@oN#VPrGf)_A0;BaQk5y9rFng_~jpC><|5)2o8&RgI zoHbQtg#PAP^}`T^50JKj3HXg6fvbtP>787NjTCe27f9WmkBc4{99VNA3fC9Y*>Xg1>ZkONY;oM$xEGAyBj6|AHoa-gUuOc+RC!48%l(=SbHU4Pz|8;v;& ze;E$@(BA_~X?PV5eP5LIPD!FnFnW+sKe$#1#z}q>DKO^_MxS-C39-UY(0-OMFXT=n z#S`X>M2s0aj)*-NgL+3!EWREd1& zm7YFTW7pR@ME|8v!C&fdcR}Za$GOzOCDBhstbc@t={&oHw6pvgMZPy74pX@xp%BaS z8&!j#3) zTm7Gg8xFA_WI79T8O#op4Y$s`@YVH=BgD}17oBkt>yrydBt!AcB$Yg;Q^kfIBgzbi z)Wh)))Mv18b$-*$$W(Li1IPZfFYqE+fn251oNI9vpu_K5SsJ)m5IgW%={Q64cVwzx4Y$BCsb32@U%3g26k}7BV;8WCxAz%^;(i?W z2_zXgcu%s=rw_ym7LA}c!-|p25yNr8d}#>ddlr+Bh{C& z0jf$gMa-&{ttmx|k!fZW{$zpZa&t;b$OErGxDq3N+ltix4HN&`GGS!lDMBK+K1ohD zMgILBg`os~*3G|QnZJi)R#X2|57sm+0QJ}?<@089bi)%~(LScg1$i_C8=O=7Zwgvdq{I_iH zcW#WBZeW-c28|naq?P8Fmh<=6u8JK3XtW@T7-KG?$&8@r>me5U*cM2%#|F%(8k0SH zE!WLaA?(X+gDvM?xG2@fO|pTSFSCzU!ig4~Uk~@ToZ8%D&myX%E!_3{{f?bwAYIP}6r^GpN(mQ&rc-|MUgx^n$FaVf|( zbY|ItHxcW#^`YXf#gb?NYL`$2P=_-3Q2F6V%1u4U#ur1wV{}oFj#1fd&KsM zjR@%Oz~$J(!YMwSlQJL1qJy~ju_f?R##eY8l#8rzGT*Bsw;m^m^#7tn-WFyu?f-XJ zr(Im+@W25xff)!F#kgZq-+<+*#I}eb5ds(HuRJ|pM@n`!x#jpnTk(3t5_Qhxrp?dc zMt~(2IJDD3mh`RbZ-pAF=cvN!$jJ}El5~o#pOcz>e-pUZOWIh7j&SBNEr)YQ*nCca z(zf?lYM1CXHs(-iO{QL9aBQ3rZY}7d`G!4(d@S8ojY3OG6q$ot6RV}v-r)8PF_d_Ip;+cTzDk-g=kv?AN zHI2610>r@7BH!hy0lH7*)$u0X9V9WZ-=sbU86C}-B^Wlt8qcyvhB%rKF*BNyP_Ujy z@6hVXCUJh6rlOAgtonl{7G(!PLRYHMl78%`g7@ddI-;+t#B%d=;3{?o%P)8-*%8cW z1B`jJrT9Q3;bUp##t;o8@j;|O$&sR>#jb5jqfiEMc1L)aZ4=U*Vb-p?KJ%Pm%A5rl ze^X)t&QDTk|HXB(ck7Dns}hf((lmb1^y()vn9oMUWLXDkWCQJbe0bgQj)T0{V)2%} zjla`5Eee^&lY@;A-y@n2H}KxtYOf!U&U4thOa{?WM8P^x?j+w}eOUB$?lQDb-tXii zp(*9SJCsu6#prf()6F?(Py2(F4$*v{WwROa@ppqr1e{7H$tpcaJgg%;))om=@%rIx z5*sH8NBHKY@41%of%k?#luU4@khJ~Z4K7mF z(QOf_1sg3P;T(O^&KcI>PiV%#%9Th$yN6-m&yrB)cUq zrP9`jTe;N5#ZaAL4BaFI1D$euTwCAI{M*M``ew6 z9q%qxGseLMIOyuS8ZXH){x$DdH`b)kfE8v!0}XI6?BJPK2RZfD72`~=N@c)Q!qxiH z5yMPl(fXXRDybdfFq`pjhdzAWW19L&Kg2YorG#%fS09`hJHdFl?L%QaLO>KFgrEdW98x=>xsfsZB`dP@@tz z0ad01%%$Fq&yoEwdWE{7z7-x3b@20`t%@Dfoe@N4%9dV}E-jK0#$r9l&&B;No#Y@^ z@H@B^&?Vn0yWp`RGV==|-bYM|O#rnk7uE;y+AocVR$j3z{%M6P_`o5M{w(_E=${W< z+qlKe+A%6?`tjxg4sO9qi9q_tKJ=LzAIzA0n1b-Dcr+CT+om7t8Vh<|AJ(0JluvTF zA%9tJfxIHRiSlhVRD>z>GZcwgoazf$H*GZrJ?Ffz5;)*ql#DKYJf4&k2U6 zvUJ6V6(;J-_MIgXxsq`w19ng43tw5~Y}S(WjwaFOwIuAq6uuQlnnU9^5e4#l;w{N% ze-y+P#(5Eqqh51%P!~AC38ato{qW23bpB<&54~vONQB~)(y!xa{ zbKT^qkNy~Z!wmFK>a2X-;HE+}sY$YJ(o=$E29g@pFoDi2A_i6TVJ0;S5jv;AOdOMp zDr6db>(|fmse~J_CXZ#c`ri}1;5nQ~+wfDm@+umr!1Q)Hk4^8MgtF;h=E%AtYX=x|g2UI6N+7DOO)>CXuo- zEJ{LZJMma2PG%4aCe6n6ilH=^O&A*;mTP34noi=GSqA}aA8@0lj<9AaeNV*OA&RMV zd|K#VM)L+}qiD)}B))FpYtSbS$}Z#e>r(vXlZxg$ZW5FXpawKFeZ?aut?8W}afEnK z9Rl=|ZRkUx+J^en77pW3;LGD7Xi|lt2h}HT+e|Gqn#vMVt=ILF9uxAh~@zUJ*Mm#ACs-C}b?ymgehiEgNQB*YX60=Hb2_VUy z*atyRa@hmB@T`ydE78@W?x3sF@AiK>R*9)_fP zoPW$TUz#C!7^9%8-o4&0dwU&sZ9nm8UGLS1=m&un%6On`iMn11fos|vXVcsg zFpdX`%)W2*l!|#G)hF3Hq;BsSkdB4f)@9e<^u%qPw^KUy_zjnYycMfEfEM`#(DXE$ zThwpdxz!yOk=w0=WBm7WK4@XlHAaDGn|jKBx=+gzCOf+ZE$?9O`fA?ABq1}Y>7iI zwKA39?XOt3N~fClqFwz8Isse(e1^nx@T? zi-mdHrF8Io!tM*GXHD3_`wHnzRQOy@iLj1eu~Hhy;X;&hI0-w>Xec zqy_ePo{PXyapScppFH;yx7$nBwedjCWfC8^0rGX~c5kv(65*lyw7VTaTg-z!^t>a< z&j!_;;TkU{a|?nHyh}dFA3VqNEpfjbNR#|KUcTiGG(_^=hETg8 z#VOT9lWP2$qW8xj7o8Im!Zc~dDUimyA7sk?ahRq#T>*D@=pyS9y7T`ba8eU z(zD-VH;ik53CoT$`6a7d=`^r9^xtD^uBqw99<>Y02Ia_cO-)CDx*8rUKk)D*LTK2w zDS}Xm3+dSC6QzM6bxk2lcmS~(LZ^3%b$h4SEsEwUzO~rYUz-G^moe)#%Xn(gM-<-C zhCHwYvyvmV1^qY_k`GcHH4X+1bOJcTn~HV;^7>r5vBNS80dQ9nC|@Mp!ohcbG?FYm zVrsIWr*won!3R7i1UH$rIF`T4Fc6`;ZO}y-{3-ugl8B!21e$24fu7;h3e_X#6W-bx z)?d4Yq}~er%Da88urt>X=rKW!B);nWVER4{JLlT@v*@ZE-o`UGI8Qpl~gWLS)6~_)LvxN(md89Wz2z zcIw9Xt7$7|(smcJJ~+}#+?|@`&Fl##>3cSGbm}C^G-=Icj?JQL>TAOK8f`JlcLJBm z)W~8;fU&Elq1K2sfLTpoW-}cGkUUc$RLFGzK`CCS*kFcE34la(AT>lwd)WFHALC%z zQ?Me34z*#SH0uSpDLGsdFaJ6Q=Wj0ZpN+pNy=v3#eFD@;-@BzXW7!QugNgo1Qi>He zv5K2En>fMKCw?ypsheT5gnyz^$?uL4Za2l^LzMJ6(UL9dHz5>*hrDJTuh!7?8BqG! z%Ag5~Exq;ck`}S2fW8P`d4B>7^{bLBJ>RBLgwFH>LA1L(vAe`K>{#F3DEKQPOKv~< z7liJTwlkacVzZsW*-`a6AjpfcSO5eg={|LNbCR?^45svr69^#q4zqVQ>!++~Tfd`0 zW3-(cRb!VMTjw3n+!w;1RBPX4T~K#wPVtU3$Cy)}!RU=8TGH-Nom8i_}z( zuH2M~f@s4X15Oslw9JhKXL%orxP>bymKfw2P6$oGWT;#VjYZU((tJZLy%of}F$mKv z{@!!z)-A74kGy}1wS3t^jC_dwl~;$A;%g(01Wxf#0fd+6if;Ezb0i{QNW2nGiOJQjcw6C3#K_i@S+!@9YR zb~m_R%{Pafqi^U31+vre@uqJ?V{~LouPmAX9s#rofk`aNEeN`j1GjGSjj&vGA$cf7 zBIKhRYTzc1GOU;#)&2!Ea0IlQ>{`$XMd~zu$luEzVG+dE@HuF{yLGJ;RbOlXu-n9y zXi5A#N<*dt`6h&|85QaD0RATSX{Ck=OeuG_0cV8s+Xz_7gPIa|AqX4VhT&Df20A@M z$S+_+AgCJX5q|QJYG@Tga$EG4QC#?IuHiMsn=i;b2_ixt4XCaJcXl2r!~tpPb~EhLbxVwi1Odojut3UcWEAr-y}VA7(ps7gh0`a%+28r9q> zA8N%^pFupWB9>}I(gSC7#xE48R+ULb@buJ|0F4uh8eh zIYv=YJ;tl#+LZo>CkhKeAn1@#G=U(1LZ`HrV{yTCZOSZ4;A|n9na2|6ZU9A09eyi~ z94WY@jL0EW##|8ZG^jBfR~P{H3%h$#5*(}GRnUW zxT?^|Q;p<5;Wb@NFH{AU13l`vULEP&EdN=Q#}v4Pni9WAm+(E~6(M^+t8r-l%U$KT zsQ_{*FjqJ4;Qh``T)2H*d{S*4uZ7ENzLNIeZIS@c3~;46jkWg51+nx*5&W1ceUZHM zY*mQ4$5zgf@y+81g=p6Q0@tZd*&TeD!L1{4>!XdFxQccyP&o|EiVN?ZXDey7E~l@O z$WoPA`i-9l(qo@ZWSxOH*MTLYtlP8to;y=y%X0>)oJg&6EtB>GsPr`d0Xds{gF%@( zIUPp@tr2rlaj5mYHw8_chFN+&0tzYX;mMFvbe zlM>asYGhc&et!pBh833oJN35YGW$39gW1V&lZx;r0Wr*mNzjk}fV;<1xlhy4DaR9hRr=@hqiYq@{&& zrcT@Pgc!q^hog#kgo03Inh`eNRV=%B$r{riOWS`?z;}bx^F)3}%T6-p5sb`&UB?S{ zb#foDc4x6kGWU_mcnd!vKqTK-{3===F$q|tGHf)5aSL=mEU>($+Dh+_-DmK0$F3R8 zYtvgzXQDXMA}2mGC;yMOsFNDGORe2KYYpTkAY$lafEksaGf`K`=B+^!Mshi3&bIX9 zhs#rdOh7JDZN2PImobz)ztLmj`YHe&7YD&NSp+HPakko=J%QSf^47j*D%OTv>@Vb@ z9B`&gDay^psf&<;P+j(~-Ge{8@7y{n+aL!NXFMu=hj<3~_fQ1t(;|yN^6Wt93N`Gy z^t30bD465d92r@xhEtJsGOxQxHV%A zp?MM=wy$)0|2P|3cDn1mXXmN_#2Fb$#&BbRI;piyvKS8<{xSDZC7}sG3DqU{ zfdc($P5rmUH_^b{`c6nh(MRI)Ux%bA1ixn8I5-2PP1$$uCBHL= znRiWRK{6Mw3y@gXMnk3hz-T>0!%UCq%&WXLf(>q9(Wu&aepO;C!A$8)|1`n^aET#L zG6pUx2=&?O_MlWniqKIvR{atX`z481T&I;Ia44PI)j{(q!YwaJEr5`z6ag&dtZ6D) zn1xve=8N`$)D`(kXBY*P-_qB4@k`mH?F|zCc04!%)FLfG?6?gNP8z;@w5CJsT-Dpc z!mp1{wCc?4)&fY|Ul;{FJco7C0S&6xgH5~IiUvjQ=`H36Q^J)e0HUkzmK(K>MM0rp-*{TjC4xxioiLA^B1U?_j75&^Nj!R^sTUA; z3v~}(i^aT!Ana;WSM7rWPJwXh8r1f)K)CsGq{wol3}0FGVUR|SJ5AuJJ1S_!9l`WO z*f(4DF2r0qRVQcH;ud=N_M-RLt#f&{xvcrG5A70I+*v~*KHTaDnEu)@r|cX`Y4YyK zNPJ0)%nt5g>vwhhOWY(e9pwmVerkHTw=fTKaZK)x^v}TfS8BT9!M(Rxz9z^k@z-1Gw@^-FJQY8uv_LE7v8DJQ+ z4q&^RkOckYm*fl&()nih#apBOZ&yvhh23VR@_+IODF!S(%(?fF8K4H?Ypg|gxhnI+ zmhHD}#12#)z)N9HNpB1W1Dl5dajubeWlr;$8p)kDr>mXP8Dm59R(Q+6GH_S&){4I= zJaVpIo)_GI%6B-L=z&{o(@CB1j#(SRf0EWS`f=s;b!zPCUBIc0Ij3tOcCbK|Hn3Hi zn&yY@FBK`Ps}f;$GqeK}HD!{Kl00LTL4YOZG=zHbx)ZFU4IEB>nbORbw34zn4a2>e z64uHbPxn=ANcRo=H!i&Cd;I)ia38`n+$l5>5Bucmuex36ciJSuFXNf_RghU!y*kS6 zHz!MVUUg(%&%}yc`f~#7k=I9TsuMz439?X;YCGIYC;*jn4v3>r4Higy9{mw!$=w^J z+9ml)ZEv<0<6`j$T%>lUsmCmFMHnjL!NV`nHe5n{Mw6TfOM__a;VMXWlB;|x^WjyH zDW%jiWHi|u+#9sQ946@)@wC!~IKO7Um-5Fm@1?x_`D9x7cG%1_b{Nd8 z#>Dy+hMPv!F@!+{2K^GHumCNi_^A)RV7A$nyR29177m~}>lH;q-4^pUrNi&1@!{mt z@fKU;I);gXX)|ZNey7{$iXi-l{!2vn!0KXx%>dBt?232R0@c)?N%jv&|31S5eg<{A z&P#od^Wdxp{dB(Y-Y7dA->L?UqxQckDZzoVUf?M4y8{dP!U@6S@?GXH8>veirl7~2f6bo#&?eSX;HXDI0|it-F+ zhH|x{8ls!EA?mIpAm;|lFhwElq2vSmWahe}oXq{qqzDYSoW*ZjrJ_9!c7-aFF|1=f z$Wg$Sc*AE1Sx!uIpSVBf2`cE-?H&BQeSY!0#reL7hywRrF&5?(LGlnAMe+!dY&V0& zp2k+1V;(WxqZ0eJePz)Keoz65Hw9-qDf@Q91*_hf1&p?Pm#Mnz1D!X!eR}l1h^U0) zM+6O$C8MR zBpf_=d~IrPjUdUa_`Uk{=jJ>-XDqIztzX8Qy!$FSw-9bV@E^;#4W=!Q&Xy zNLl4%??_tSLt8)5m<7d*o5en`reVG!wZdlmwqOoPlfLegB6)pRNxZjzGrQr_g1L(K z-jeaJpZ$7heg?BWRC(!5a(lc6-VhB1x5f~L^qTEqS{f?}s{#?~4jqFO^E6VC2Q z)uS|m*4;8RPBXPI-qM_2jC`kWvwUW1D^A}q$!avKYL;F}E>Xl)rkuW&vzg5jI(<7y zt39kp?(!$Eb(D_M;QbO(X1mW;ipUs?T*H4DFwC_BZ~v>wPex6H(!Ps%kh$a(QEE!X zCfpdlRec*kpI=-UKS@oDzuC+o8166=aKI;Wldb&UOH zN0*6&TIaIoHjcDAyhCkXj=f=GUUm7q=1c3XcQg?UxVnY?%;KzfDB>hBXmUDNxlhN-JgC@&jfD(B>XdGgMix zQ$)%ci&FHSpf$U<{$sLKqD~fUIC76l9SdvHp?24$@|)lAlYNN&No4`wHLQcx>U!ny z*JR7N4>&57axEr@3B{HQ?d&&QGmO*m4Nb85wAv!wXi8z1aJct~#k8ZYDr6r1;y-J; z#O2)lQ}czhf^)PfgQ%=$eRKn6LjnpO!8mqjO5O&WG|IW0unom+_OvZf6R z3;+rNKLi~4l;2N&3X$^q`kVJ+Bwod+2V#tHz@lCC&()g>c9>xXmBnv%FSLD~eWeM4j427^1)Hr@+|SZ+h3r@%Gel z@T^l=`Xam{rA&{nv0)cbJadc_BA#X$0&nW|+T- zr*?PB>fCv)40Ojexj=h}o-|AKHB0)V!+yMs;^P`A+i3|FV;aQ#rsks>ysTzB>uCw- z4P}H88oWGq-dHJ_4do_Yul7cE6BLZG#DQB^A)j~ze3$(kywe7r1t4fnJF?@yU*R+4 zVOT^oyeac7MJ4lYF@bXrGksp~D4xBFbn5~3F_fMF#tf^IsPpL3 zAC>~7vj-6S6mQWPG`R68o4bCVE}vQ2zSe652fEL|U#3?ye>@LxSNO3buv@|Q>mk5^ zm~PMc)bxtawb4D@v$t&=o|pq=&zXGKJw4>1ZTt^U#T7RtKDOd=i8oC6>tO{g;59-w%~eq z%Zs_M+9k!t%B1}vdq^zWNc4it*(-Dev8}}0ZMdjs08h?+TBoqi8m%^>ixO=@PpOp; zZwC35=!a>w=9YZoNx%j}licuFx%z{D=#sY$@a2wJ(fT8Qe!Gn zB;ZqHHcU%?ICs_CFQ96pj5ACmhp&qBFg~o1!JTwVARwqGWNu+P))Fsfjo&KKO0dU& z+x+4=*R3~=EHd$LCwg~T)C7~0Rew-8^xwh};PaHwhZNFXzENE%9z<-8466OoJejCE z6Epmo$)!d5)Z>->o5y2m!6_l^Q>Q{TF^D-sP$JIP`boU~?--yBT}-lrok;-SoVWsZ zf5861jVf;X4M%8U&_&E0pULK<@c4Q}YecC}=xFJy^qKP}yAY`dF@;Ne1okJr{t!_% zbOPor@z9Zb#X%k+NYSr}7b33)oM~ZC$CsrD`%ZP z3MFVE5dqghFh{sueol6-5?{2@T%`H6?pwc>w(Kz=4~Va|xpFj`)rh|d*j8<|>odGg zcq6ufz(3K96IxQBZWV#LzY#cCGd>}l~*>B_wZ>^hKqWf|&cLV}W35i34HrPiQs4=l^ zIUG=%CRSxmV-D453g^brY-`lLk8T#}J@UNCubZbO@6^ zAD;H5l(N(6IA-UFcSwC{(L{MVLm}ibjk|O(vGL!-)g4E;-rMblk_KPsB|kE~z0pIs zL~7@D+eKZCfLKEscg8n0(-}fgOxSBBONM+|7kKrI6B8OgkrRyaAl=2(c+8!F2lKTS zwD+q}O)-R2X&S1Y7gyD-(`c;Lge@k)Is>px3j|q8PJ(6o7#rMzrF}d=LlK|@PjVRj zOJ+KRt?ShPrtrJ!iu*pSmVF|2`>x?s4wW*M0U=opfDUxud3YnJ9FHLTJ7Q~k;F>u_ zEdoT{hxRNf%Q@Vb<{FZS9}OOVl44x7`-Zu4MhEm`NNG$yi2>xL?GcG+PiL})MwD&v z?uzMCJerE`fHFWvSY?4X%tlsN9mWH^%!>|<5EnS-A^Bw8nh%mm$25IF{-L=VC*yH> z%c@lq+p*`^bh#Ge!XoBH`lR&HuH)Ei2uoe324J0Z43!=kPfSdo(=3sR!4i}XWYjq0q}HIX0f(Wmg)|%=3s1AR zWKK(E-;_sLWFqVA05ZkKeh7a1z*qh0!Dz!aZM7IT|i~dR*4dcBx&F_Ua|SD^j@t`8^v;; ztVG+2c;s~}r2nMDDM>m(`lPXtxxC)xyVm)a@g!9V&Xo_jF#gxAKx%`Srn^US zi&n<7xuqA6yR4NVt;(l+IU|pc-x;cQ+ExT)fATh@HU0*d;7%uAxm-c*&1`QbVqdVX zbU4NwS8d60bB49X|BV6irENysTixU1Rj*0TC6;y?ZLi^A@68;l4fid6eSc#>`*jIw znaCvIyo8={Fed92)_xr4EmRt6lbl#IXH@UVPi_hp{;aXNvTjJx2u2FJXkA^?`h z^04|wBguE&C8s?Utox}@c^ju#DMeh%q#aAe#I;MD9|Kk%Ib{J<48m z`e4R?R49S+awL62nTAfx6Lhcif{Ss4tcSzq@(3Y*(0rI zp?SMdD(H$7t7!0LXsL}!MGz#;rhOy&SqM=-pD*u2SG8h&-1o)?>jrIXd_Csw`A9&lllR0K^idnRw zlK|NE1&PfdaG$W`Vf-Uq`k9x1ozEUW`;JS^X)FMo^3j72JXST)nhT-COS$ zQR^j+1Uy3C-Ubr&4d2+5L~x&5x{Df@TifCM%e)OuIEGgkL0A1&!+kz|30IJCjOnJS z>z8nX8Gk`0RhfAjD-04o|CA*J^8FpK3tJ>k_)PORK?3GSw6fy}a@aaDwJay0VnT^; zcL{rEE4|M+$xmgNZm=|<{Tur?CU+T@dHC!^QS{Bm*5IsjWFPqLALx%LuI%A8!uHFPW@u#uRC2&g@DtGSoL#;4Ju^-o_q z9g-!&4v-j```~Cl?_e_frovglk<}$LT#L{Txbjwd*`n-0wQ*$pNTnyBR~bF9-!~Co z>3iRB1BS%8=vt9kq_gqX^d;;y6t{btyHf&R{4;gkdtl|NC^CpSqOZ^cPFcALtgrrv z6Oi-y5zFQv6EV}K2?93+Ly;e&(p5N?A@5y-j)HiQ_anu(~zY!pP5+HRdBi#pG{33ks?)@~-@8Fi*xfBY}`i zAwwMO(+~19H41-`?qCFM*SWqp6Po>OPjhvBLb8WT8)zGg#Tv4F@bhparNpj9EFh8l zl)Oxd{v|Et>6XD#j_C|Dg%kN)$Nk`pu%h*HYVE6@qRedS5;7!2HO9_GJgbc=KH0)w zCU^-68*3MlS%cteXmMhRF-=RVGX|C5PyO0PAP)Jmoj2T`kAk&*r-_>`thmZv@Us3| z@w*G4X9OO-wk5UlpIREs`r;k1`n8C8#B%M1xN!7H`a{j}27li%_m0ixc&f^3!)ADu z@q7%Yv3v~3Xg&sGRK8ruGW}m7|FoyJR0CUvh2W4(8Sg9>_(USvl#JN(j9z%b6ZG{r zW|4_cMeaX^cBh$|;bZrdkv5F_9FYxwHMg=OyCHwC4>Ji~osoIMC~qY*ulRn7Bap-% z&z{7t`VNeDJK{bJgjHKCB8ym+m|Git5fA5vx9_-k#~81=Hs_u!ic>`(+ny}Xu6C$C z!xJCfIQM;jja|3)ZKyuo6V`Q&0?%HJLh^2n0(7_LjOGFpbYiN;c%r`lmDijk3XwN5 zgm;IBd^f;dK#bFr^|up&eBbtocrbYeoW36h!45KI{wKUm(D^? zGCfLCd$S$Q^+5L3XfZ?Vq8deOx?Lu{E;-_BII1!;<9x;Y)?#*DoN>asZk<3w|AZ!T z#T^Wf^~P3={B!I!Q_n1Aru~2TU$|+CkKi}9=|64G>d;njXKnjWdHo6rHnDj6o)e@} z@C37K8;!g^x?C`^I5-~o+uw3|V^nDxtI_Wt7I#I_6UlKOHe|F9+o^8TEG$jYX?uq2 z1uMEi&xYuZ5ozp+AYuH8AYcAzmY0&Bb*!1XCyf#Ab^DN8oi4>GD8~iMmS{pWesqtr zWY;NL@rqYk&Zyi4IdDRfba|H=Yu5=Ec`F-gW#6git_xdOoDJolEJaPmmefYC(&HU~ z9BTJcnKN&$--9+`Ld+)1EmYUu@TPhc5gO;<=N-tRQ>)BAZDDQ(c_(5_7$! zrTHr)IRW2*aE)CB`)a7eOt5Rh@HcoA|L)Z-oGD%`gY+$&3tp`1Kyn-d|0t0}eC)vJ zDWrIrNw}AXOX9-j5MR+@?k1i9p|8~zwu;zO$YP<&T++$nALHYk+PjSvcnUXiz3 zk%~!&{&wuB#dG-#m(p22#?Hgpv2+Sgu6cJ-4ydnHhD6zQurPem^;&~F&g3}}Q3T#o z6yZ||cv9#NT;vv^Keq8r?#%{_v{7sC5?H3Gf9}Fc9IDJW zB~ZYtl`WjQ#6qlZ-VJ=vcIxr2;KgO1PeY-w9EWe;l`t&h{W!|`xhI5w+21EbeBUeN z=fFGq>_hlvtgEJk;uHU0^1AmMy$_wT9M6bF+_*=_ueUTrC~;CqD@9cun?=Yju-w!} z=0})l&WYz$S7qM|^XFB^u()|ZF?F)RIeka>I}F5a?6V4K#CDe`m-m(^N40FK;7LQc zmW=mtRvkG57Bzl4Rjjk^p%DtIdt;IhGLShXB(U!?FdC1lB|gQMB0k39;n$iXSJu2| zw;AB7D(f6uG11PxG|xjMgtIBTycS5%-ICD|c;3Zfy7<93DUrfqnAHM64ip0Ju@uqyQ1$1L3k6-@=B>Sk$P%XT{m?O=3 zh^}KfsfhAmQ~0RVWxo`d{~xmcGODfT`~N@_f(H#0DK5bsiaWuJ1T9Wmyv5yv6f4E0 zxEGh=4yAZ;DHL~iEmm$m-~Vsj`{1s{d*+;3a~6cm>^-vQb%JoZSPxhuC;qCv!&tw` z$PavxJAg}INp=7A7U?zB>di2p(4u&6RLVa_Z{k()ecQs*{aq_2W0QH}Aq>3X)vw+! zmIK>7?jytLZ_?)1sF6=Eg?$@)`LRa-_{70%m$}<}WRasKq#3-9a3Syunl%nImciQ0 z5>2*k*Dl6Z^E^NkSt->{zLz+c?qdF?qV~Mg(7i(85~h^m!6N)bzQ7{uro;zwGDoWd zhZ6k@3*H2}!&kcB_b-sz9Aqm4*{1esnH&>;uA#9 zqVv;Lp<#_(;KGwCXyRNy`Xb)6bgCtvqpLuCyLCd}fd=fngLtebz!ri!vf*r6CWrE z(?3wI+g%TYeg8+0*Yb}b5~(kGfzc1M{^8nmy(o;&4ui7!8215C*ku5;(7sD_;<*E~gxB0yx_I?FCV-Zcz?;b|JD$qC$qikIN8s}!{_ zFF>;9v-7zCO7Q&Lv|wg=dOB#&oXH1|gediK$-+;+r_IBo80+~r_gBPcpbmV~&U6+@Uvk<-h}O{JX30_6x9 zY~0TqgIX}~ojco|MVE4uh5!BwgRmun0(d8yZ#I%iu)uAlekN=((!Pq*wko-qz?TTb zHo7y&-@S_$M?wgtGS z0?kkWrgEF^N>i8U;t66*XQ)PwjoGCJoWogaQxYhS@_jtSoXQ14xVOlR@JeH|2$mMY%DOJU_~6PlO54m;?sY{kv>&XliYjIApvQqGZQru8j8 zal+Shr2QS`gTIUBPm(ozTxSEWY4SdVQkf%Oo4?=Tb}9<|j6(Per4=Zf_#Wl*Lzn6c z%GX4Evi6{)Gz?7zU5-K2??>m8bbm>)QMZAz%5)SZUTk47!1B?%V!+xQq-vbqwJU;y00gSwt2`0ADdr z(*wzBzY?sN{SyfkcvF5E{OjQLW5rmYzI8&UsFagImQeF!kYtCXQ<`Zc_hyI_IruyL{Q3(p>Bm4WF$)%Mypm+xZ)ww+NLu!1EW?fgR_&xv zWFoLwfw>_Goj)QI38*++I$oiq7g1$nRFWoT{>Yom?#`ArJjOc0dOexbot=C2fa-zq z2vqPz@kL2%V6I{Au8;`o03G2Qe!jL*= zmhWUI#`z}cUR)b8)o-=f!bLpEDag1a*Tv1$_AX~bFa45rQ}3{wNAz)pafXw`qC zG01OYD4_{pBt^LR|3F-g_gSKRwm0Y6NY_l*2G1}UNaZ4JP})5ukZTk7g%&TMNDPlI zJZc6j!l;s|aIjRb2h*-0y}Peck7!2uqjXA%CI`=EGHfW96jKJnhU`<zl2yw=b+TyGh`R;! z^LVyetGD(MFbo_8W>y)4D@L@@OVZ}U7@4bp-~PQ6Mw_;Hk|uBihO^FT>yUG6>VC({ zq$36&>6(n^3K72xX4UQ3DIf1=-?5so2X{ zAhb_XaScC)Ic*RsvX>ITW_73LVh#f5U_2$fjn+}9|9LA2ix+`QTts9;U579@6aW6= z4#dKrW?miiGihtU*q-P6jK9lWK;;EqkN`3agAG6T0`rfitR1MCuYklRQU`|sKhk)u z7yC8KR0Q`|r8~kI0y-iTtCsF)emfL_Q4--q7@m2FoM4GdZTfvE9E^X0+n}DS5BkSrU&?_FezOny>{AXO(U4ms$Hk@<{s`TRC*Xv+i2e) zJNNG6RWfs4AY_j`rd+LWGF&z~TiGcgS!?@wofv*E(hbN0xqWs;sJr7$s2Cw`;fn*_ z;gZbhb?MuH-{vuBR%uosr7vGeN{ZyrX_wL-aIAR*Qv{g>c?euzW5T!5wB%odY)~C2 zP{+g(MTHxp`^fH#haKE@l3&sD1R&v=0YKGzg7fM35Qx83$q8Xf>LnKaC~q-%e*D;? zV%)Y?zGh#FQYjI;O{55|YuBG+RQ~LPuH>_%P7>TZo-{neqi3RD zi87qfaM(Rq38I3Of*-LfOHz8VIFHL)JMx;NOYqcwoe(IU;(T<7Z`QkgX?{3UULuHd zGqegS)@^E1bo?P!!~Pbih(*^gB8=?S#UmUNh)L|YBm!phP@9}mk!^8puy#)AZEOw^ z>evH2RP3~R=5Kq~RK(>!mFb6n2X3(8f9I|%W~>H_W(~q5^@I9wPW{6z&bGH(e{Ey& zq5w70s=?lXRC@wEZNM{b6p@rBPEM{&etRxpmTZmQ>H}kJ0>DoTh}FYL!EzcRJ_eT{e^CAE zuP`nl&9~U(XTB1XYDoo5HFbYbFch}{Z!s)Dz6w_W8XH!465>r&&F2Mkx8Y>SpivbQ zf%mUjR1&Eo7`YJbmy~j>idmOeGAuq-Oe{}ZWg*{aRt4NK5`{a0?Gyt1&~($c&tK@+ zI)v+Mstw-wl%PFbYZ6gfw*2@!#QYe5@jQ)&$8&HU`BO_psQKq>^u6&wM3jo3bjJnm zH@zqz6W1^Whg|K`!+xD*9{?`alL=cl<6&ctX6z9LJl^$Mf{L&IQrC(75EG^dh?$T+ z0HhJxJB7bTuti=3Q$&EMNKwRXW{G%rbpqCmPeo| zo5za+e`qtYM9!iR(pLcmg>=9p>;_V_s3rtx+dffjn`o9$!Jy5j@!x22DfkFy^bQ0j zLtAno@jtGzXpCsS5E59tCXS}w94^UVF7U$0gz(!LRu>QwkJj+)m!|ACh*)J5g+uZ| zrzfCoj^pkcADVT8A`pwjl1mUYZ3uxVRd`tOObY)vpMx38C*<{9<~4KrcVbvnZl*+) zeR#%l#xiTEtDEj?oJD-u_wKZHG6L)-L(KhO!$&NtOZz`PZIJ(tBY>7x)&>m6|8K`eVen6+QOVgY4p2+1EHoJoufvovcM~ z@Km5Q{TFaOtx3)zh=*VfjGWjyS=Dl}@Y~?=B%LrszY(`YC`Q>6=x)3uFg57xPDpf@ zMdX4Z`NkS>%l6#hJ|;358?-$y-6lSppX}24XP$6Nac%mVTwF1I0G5bJukWg#(TqvAt{$UA{)F8}FTafLgvywpk)e!tNYnl%`pz@AS)tv5BDi zZ9AFqSyahg74uzVXM^$Chdw5%(D9ac-(0J{?q#xvWxMvR2}+JhM{eO;eBz!@!~t9G z1I>5eI$>O1{-uq*{F@tr)C^MM0k;hyJvj}H>Z|@)PWLAt*zJn^Wlr^zJWlk9y-)PF zybtunBB{)G13pc;cF7*Jn9*%4RTFr;wDXLRJr{$Pd860m$6?8w8n+NBsv){uUnrFW z4Irn3pMf_-!ky*(H4n+Wl&iCU_~}VCH{O^`QoNbu#XC=UPYF?9&BCFK!?hg`gYp3= z5#8LH6AMF2w9kmEtPxb%)uGqs|6PbUz8QH$r(5#yC(^1vzGotCKD}qExiwi0%TCC> z`B^CQ59OAI2|tv^^#vqgMAzny7fLR~(#xxlrul=Z=JcRd1r_m(Vw-l44#j>FueIGz z;pP!$3XQx#c@O^rU!NSDdp@k;pxw2Na7#{26gtnT& zLbN=r0eH^8u2^LW$~l3;lJtzjs`Of~zS#`LK#6z8y%~cs0|*jtJJR9^-sZD1RavMC z!1J`D3YAiozN5$)SCA>fZIWnIE(K_xG(*;Imn$^sQQr=_I{HOcdi97~<_rKfM5*47 z%keyk--ruMAO3Hr9y&nz2J6ryrby&(0@ZG_#lSMxAFLUu*jt|=bz+m+L}KJ_z0t3+ z1XT~MkZZ2psS)l!LxrjyQ3nZGE+W>fvdUtU;> z5&pfDvfp9ib$(GwmJ=zSr%>#`RJ|K2aLpVqgKQM5%$7>Ep*6bNxxpc)Q)mEMt)1E@ zM~|7m$yx7cRe9x&Vcwt7&j_*6od`-=#O!&A%42P?`yg6@wV<8B+|=F?bzMvN-?kb) zYJ%+QB~2^LK0vw4^4bZx08n`XZCH7oCIY!8jtTaO3f@6cYE{R?*SJGD&|PM%!ac>5 zFj%#exg1hqnM+uGtc0c*g>rdF=>3L1i7E+zi|xkm}IH+@W)Fcy-D^43h5E&}|co3BsYs$yp+PO`EQ z=<>ap4T*z80Kz^~pg~Z35JQ zLFggJ3;jW1VX*zd&3n(&Ez-B7AA)42skpRkC+O#Zh!9MbIidrWZX(TJsus~I9^|V% zuqsoToXJgobE|j|x}w#5%D-ZOJPyZ@48Q)yvz`q9lWJBPT34^kqz`yw4n@u*s+_}umFjkny8>^ zQ!3qeboLevB82dZeGp=IOKC)eI z|J*~qZ06iS{$Q70y{#|7ko9NGlZrv3NuJ z@p#eZv3OD6Oa}K+am51%=a?C}I>mdXW5E~y*_D3nnLojeHpnQ?NV#-kRs{eU1$`9w zt-=`0w{`Wl7Bi_4KT(a=E0~(4f>v8=*RdJGIARgR+K0h1%4xRda2LeU7aWJmgILA4 zFD~gN&(uybg0sST67|Y;V($UklN9s?#Gr2IFgW1}c@Xse)nG6iLQlu)W!pBoVBSlD z#2^6u9rX@;G1AqvVgi4hA>5$a`*I)RcI16S-6TdcFgJ4)lm+@Q% z7Xo;KqTY}+KZEOQg(s_|io$3dv5Qo$ePw+GC4X%D1g@;R(9mAHP#{H0d+b6)d+ddu zOY@d#H|foc2sCB#|4r*uvfG%Bw_IBC68&0o68+k<>SFhllQu^)^~!z0D~p-Ol(hO; zAd6jB#A|1~IN32^!n}wyeI|%e6YTV})Hc%TB%jgaB>(DV2;s-}H9@Mn#l4nJ-u^&>rYKPk%&?EBc^MbDV=_HF1v>il=?ms$?jV{$E89I3x)5+ztsQr<8f!l8fq#Ym>e2ExcvCh8Rt4^6&;J;Ymph~s zDIALr*(5W!Sf=ezl%#7{l>AXHACS4ekm(gZ()$oUp~=Zl4(NVO`ci$(ci>N~=1M9tl7s_JJ7pL%w8*yZtgFl36OLh=LdXdgU(seKRpIfGYYN6TEQeNgOw@3Wsw& zdCml2H&K08&=DK(#A!5K4!`tA$+ZD?TIU2@@JL{gmAE2KJn$w&d=SrhM`N{=1I{c6 zhyAyH-Pk_QVh4>MBRzsED*i>g#wwvu3ZVM~-Du3~zW_+1t4!oaui26xuGt2H#SsoB z_Cly)OVxLIc(;#elHC}!5xro_bU1#6HoP80MoWOtf5n2ldoF8%7X$l2yO(eRCLlsZPUe0_-KT3?aB^Ox^L(I4;tKP5zp{sHb- z|5PGW&3kZLZME|&lOL905JRdUG@}2y|4Fr!-=^jJBYHB!QIuizF!~broSy6Mp>QI} z{wP@7zT5mVIfo?p(|gxgV2pmMCw$X#*W}JmqBY(3B=%1TQU9Uc#>&6?`m8d)wf}N) z*mjWb!?YHkwtJ_aURcI>(4;j%sLmi#c4T-;Cx&M#9kRYlJ6g-ybXT0UxT<>Gw ziHbm!r62#KlZ2Ko z6*KbRu+sVDK*CP7ds6&WRGKxEZ66CQFczP$#eZ_Jx#T3U{V6Uix@Fz3w^zX~L5g}Y ziI{}}O0)NWAMfk)G7o9(>{0{AD1fe`h008Egz?=2?v2fC3&yxV*Ai+o`cg&a`U4-CE13Ky{l; zCI){^meIUiv)apP*w5qu+9K85^W0iy*+#+o;w7UC-ww0G>gLicIamq_IU;VL*ki7_ z(CW+$b@G>1Ep?G$l^}^IKLEGZXB68j?La)pPgkx46~> zUBa&;{2WZ{mQQwYSpG@hXF(KLX$DWkpaNy$1k0W(1ZAQ^_jIbA^!g&e+LI*dE-m^j z?_x&fShT4a@W1(*2=i&7xFLH=2vQrSi#xLFfL|t*EB2Bb&AEK1RcaotLFFZtF$;ia zgg|p=Zfod`^auM}r=GbK?KA-#7M}hp8?Z+kdhpWe%ZS>isvw(k2Wn6GhX+Z!Vt*PV zZ$Np=mnk$;SBfGqy<1fqDNo?sGS&q~udzSZS$6q2+Hcou1K^l^{~zX(`6@~EevfNs zt7E;KOQzgid%5X;@?G>u`>j&Q;viAhA|Q)-@WOtJdNbPfr|>4F#~zjMeKuXacAU`H z!QBCcr9$B2NAL0mkcB4KMCcsuO8*tOUE&uti$+iv0+RoP2G8Q&tI>Mq!Zxkm!Cr3I zl{g|BVM$LP^?f=ttjXU>$+WtR%UvBk8 z6PCeu<#GNRG8ryHCb6^D_@Usbn$|L{mUbzh+x+ok=i@6_2Mf3p-5vX~tpv|M$P>Ar zrI&uj?>yB-`v>A$mh4gV8&9RtwFc%Uh5=@Kj~4)VkGCQ}D&iG1oEZYPTKHpJBSitD z8AG28uakM_EBFTFAWPF2%7kYmtKFY6;fhxU*wy)9;?#_iOIZbA31CLo}ZsiIfeSeE59GcYGO7%<>k-cgfpRZ<6*N$5?L)Y zAfFs2co^oaNNfzcTDb|I^joCqmxFu>ucshMf zIFDZ9A1Vh|=mjdcV(h&Y+T_1_oAG|5W|VjP|K$GBwH;$YRP3}#nxckkx<;CaYJ-lB=8>m-`&wEQ@&525TScB9OoYEV;~0kj5CJ! z&*qR!|4tg{|F0L4-=(ATOE(d*_)xTfo4+i*m7vdI|7olmQ=>QOjTT2hZ*PipH%#uP zmarGQ?n9xMZ0U;K-%8x&1=l*mC`LP~@2wEKMBolQKvs+4TwBI4$hakaZiZ-VcR^g{ zOq00GqvgWk_sDGPdvahlx6~LZ2cc`3$(ls*0Rn^s+b)T9K@^(T!Fl zp@};m+~Bf%7|a*7l&o#;cE25@kDRj0(cGSGuKkQ15g>O>A*%Wmmwa8<(5B3f)m0OGn576{6@-UnD&n$ee$d=CTU?mPEwX81HtQmBt z9~$_rf-d+1buZ;Rq{RyqwyOvavI|zyDXeipD0@btELS5Xjavbps}g zhl5|&^9HPrY3&pK3$}6H#cd3*d^uzH{OJz6XGyYUgZuzL;_i@&w0Xqrw3*i8pU(iA z?IO*7%^rx+@4uUk%jpQPPJb~W&Dm%%A=pZVEF!sFVumKSW%NaXWd^&|FF}2dczYE? z_1rRKvnd@nHkoK0)ot5ytYRAEeiJ*FMpJVqdWq6-C+dnOyt+(&i27&KeJAVYT=Wto zYGyqqnNwha5oN!{N{F;*g!~t;aIFy8%$V2qTPJ0UgUdaNTZ_qWE1=d&63ArlC%qgc z3Hk8b_&j8GfXt}>zjyE21}pw++?*nEG$iC3HG`UXpK&ZX_!g7uIfOa*l8}#zaPakd z#2WzBCw|f=BFiSj<(ckl24P?1t$ujKM@k|~T;tO`7wg8y3oN+!B7f2o`M!i>5Jc5R-5kH>%whC%JfxvcoManf-8ExT5_2a0_E=M{lAc`-HmYL_wH`JEI zEH2{2lt6kTSkTo!H-G6aIO^}p=dr~V&6S8VjC|A*(oi7?K5`;W(YipIMAQwQYEC!W zu$t`*vIvM_X+*5@NF6=>>A?!`xBx#?Ud-+G6E#X<)=R47BpqNLhcCFG3D>W*?H8U2-7BN7|5tha}j%B=pBhF=G@16s)jS zUh>M%YJ2|QQXleR^oZkFlL`ha$oHqx&y!`Y$kC7SdzxI2Q_##$O5<)7HUwJ#4Y)lH z4lbN`k$I#f^a(U})H?Z4=Ker2$mc1k`EBPu*n;+4`rhC6tZuM|kZUc}@K<@G{sU@q z#VJF=4W4HDU7fRae_M;z#))6|gCxZSZZ|d$a4kn#F0Gz|_^79UWnJ*ZcBMGDt+Dn~m zP30v=#K~3FI|}vf-8!RNKsW78+yuW|N_vOWeDf(Y<=6W@`X^@cykAcl^Np$ek7B#7 zY03W?+;?+%N}9{N`pXE$d96tVa7C=P&FoMU$HNO)T$@{5bsQGDDGE(GgDMAa(=mUQ zVEq16<54l3yrM8m6?fb$OP`RD~ z8$R}dQ7nhQ$7RXX+jlW71iX*{jT}=vVut67v)#nC?Qp#Tj`8DFfcGyc(TG$J#LH#s zcD$W>M5IN4cG@$oC}d7%{Lwor`s57V+BrFjk=ZFu5}fNUhCSQ$p}%agQl}wY#ZY5) z)n*Gt&|hYR0{9{fQi!P5i+to5yxr~h4jVBm2|WZ4<}ks0uLZ=5Rq1&TFTar9sY=pE2uQsxfd_opEWB zIrcy@QSI!{)cXBgYU89j8G?>1U2ltC`aYCMumIw zN-peGDCrlIgk_spoq<*=Tux(2#+nUkk>$OwhtOI-zyA^CN1_WT`k*%!YH@=?#%D&L z4iNGp%D>T=xWlMlO5|6u5kjRu9ZJ)@0YSVq)H!RZHFvU>y@qg;h-}WYGnuHa5xT31 z{MroEXKNB7{6Hg3tu1UPSrNiK{7^Ffzl>hfHmFZ}0jwlciP73~ zmBrqtPi2n{5Zn6;kZ9DD^^>Ds*CB4H%%ndnbd>H}Y(_Djus39Y5>qG}*QkogM{MeuqEce7-v5q(_-Ra2G?DFz>y>vSPG|*Br0avE8g0DIl#z zFNZwW^B%{k(urT1dxxpJA!>}_O#|*k8Xt-{_x+^+@7rvx{m2-fAfu!U;Ne6B=~r@H z>IuAV(_Hd0QH?kqQg|EP6>5U%Fj#B-9-vivfucRNiGJ##>mS74=G|^3Y-%}D4owfL z@%EQ*h?sDe_n_geT0c?Ebe?VEiu&gRWW`YWV{BG=xNP9vFbd#4L(Yn-dUgqMPC$ik zQJcGL$(qQm%^#iLL!9v^rCDjDH>vagT{^T;+!An>9JK$)?;mGBl9Z8Ol}ide1mUd6 z%>=I4TVG4SOxi>oI8Oo0NrR-Ahu9V%RQ`itfQwA4)i#>FdLXU%(jCedmvIWMv!F39 z&lQ4Y*oNzVCeqor*@vQd0XTE*r6;%NSZ9Ay_{f#zULE|1;n>zk%z8n2#qyUN_XzCU zXw%#37RU!bn#ZyF!Nfi5@TCICc@S0=|De~3 zdAwiQ{9}(Tz94WB%|&YNvt0%)y;e{KJSD>8Rja}^{fYeBXp+yN`pR3FT8zV3<~W6P zxAPQ5%Rk*4x*Z~uLWfk3m@{7H5i|sn+)DMLRi!ej*u*j>P^W6<9w|l~?HIkWkkCp8 zaIxCNHx*7P|G|8_F_sF0DgI^1PfkuP^s(Wx{Z-P$xOg6+3uq1XUx77_3c&BXbHm+BkzI2Wg=`UVN9quLIWz#SVZ^b(oJ|KwwOtoIJR6(5y@fUQT2VVpy1B|&uJnS0O@g`8Kkh&zI=Ky%_Iftd%G?2xH~M-+9=)a*Esg?JquA^x&00L^%G2bG-I>>;2H< zj@;JOWcc8IIez@KH4T07sU%FDCLkiS^N~Qr|DMj}{svj$Jbdly8mT*^o{$1Y4DN#o z;?J=Lk8=JLTi;#!9o$ASF0gDf^!fIFe*AYUN{;A|&nwF~1pPSeD*XjtyNw`?KPAjL zR!MG3n%a!dFF$}9E{sBf@P`<;s3%t9W>d&JS>M8A5&rGd6||b&k)*B+(!u?gkuiaE zCI-h%7N&uny@6G^D0O>wG{=;T`PCwVBsmORI2FH68)$nd}mdD z+i2eUPqK%yG~PzttaeZ9g2Rv?>jlIsj?ZFA$claHfm30c^7^qL=|GaYoLb~>Ihp&; zJx6){pJHvhMjz}xHP`6Fz@&qfaheY?BDeZjr;gw2GF6D&XkZHEsnJRU_f}Yn3k*&l zeyMJx?=`H$<(*q7TJb3vwChg6~^jSH`vMhP$Sv2ugNd1tKRLX z6iOsec`A&)DvgEpWx=1Q=15S?8Fue}N`mo@5+h*u-)7xZv=j^5W-Y6fv{9*Y z+I5pI;x8T!hAN`jVkbZ~O-dyP45cmfFW_y^0~TWL?P7sXhYYO9uO#lui}A73hmX0< zJ0RWD3q?35V4OL9!=B0h(nlv|uEwQ(AZ}A4jPjIs%PTfdlM`*Fa;(}*{A*-aq_WZ! z4Xd|8^0#_t<-jk!0+mJ(FNNys)5Zo)fCnTk7V|d$+V^$V_8Y^8JT$j2g~yB>3ob;g z+HVarj(9Z4Ewg=sCNBaNUouC!{2BJ9%p8cJJ~h7f+ASLp)0bFjIXEBFjrFW@X?IQD z%qpimHQx5xWxE`^7C#(N;Vs&T6=K!a)TDb%A;4;AhH0n!^|FJSdqq*aLZ4;H{;}V2 z!=5x345scC{RX22x zzKiFQ7LUn?h$8l(zC zYM`9*4)i9$Br>6rQ|W+z^eH>0O^iB>TL~_#dkhO~7q&suuc?)*D7%OK7~bu9a18B6 z?wr2zR*=*X2&^8Oe(=uUw-8D_^Un7+^Tvli%iUAc-9Lm$Yz*rY!4-T&f8)Ph3)yuz+I@k` z4oFLkjWE%|(anlC-8_5%Ne_1ss39@>FQ9{}uudZ?Lo9P<_4Gric>}ldA*QeLtDMXI zh1*j|-CvRd=@oj;srQ~gRBd)#i)Ddr#N7%U%j~Yo$gc(Vp*w{*s=e11_z^aG#%W7$ zhqOH@c}^XS!-NLyeG(A^^*eC2=zo6| z626z?@@AFN2PqGvigiaiG$3S$i=4>P$Cu&9j=Zl%mlG4}LeI{YpfTfiWAsCgrAR~e zzMhg%qtYryX_uc%SGUkU`*SH-Vykz`H=C^SRS%aKftU?{He4lbJcDsg{qljjF~WVy z|5-5WQN?}(*Ql@0jW4lAE4`*RA&M*y@i$0kZ(~t;Bz_(t^tt_$2Ql-f8zf9z0`k$a zOCH8Rt;}qY`W4e$RVytZa={Z%R2=XqZw%d6eW~2R_LB6duLjv`LxC~$d`1qe^QBsBE9*Ddv@ACddOqEbFt^=Xt53> zr{-zb(`onW(ns)6&OcsTxmhFHWB1SHDM^k+8=wT8o9;%$BD)y;d;(lg++&b?ZvWhnxtR?`?;wx^r z$>UdK4fm?33y7OPWa!$iL(~*z8HVImApV2MUyyM+^)>!HLUBQHiM}M(BGSh=Au<6v zN^k(>s7x{{v3F?t`bG-Uw`Rl#eH+U|SEJg#P!d~DWvE#ePNMTS4^7v@Muwqbi_>8! zLb0%2i;Hk>>OO(sFjc2ikxRy~hYKs@kU>{3y1AD?OSPw?o-2M;uiR>jQ>w*5d#;IW zDLs~_H9b|BzkxbZv>ve#PGWI65j-MVPbpoAdv;;t%3J0}(kJPQ0eF&ZGYz zuA@!4CjR`pY@?bDX~i$(t9hHa-wwwDx)lw1e#g0wyz$hNcwhO_o`{HTWokQrclN@p zq9#sGT+iR;$6dRVWGc%`2$Cz#utV-NB>sUUa@hHs$v|}7Wm1`(ZK;F`g+5+U_LPTe z{kKRHdIN<(MtS2W$P4`Y<}&i4EF=GznKnH8%dI?n2XC8<4^#^tCpoS;Dsz`r($h%xFX>wk}aO8Fl&&ns^+S_V4#%{-nh@2T?fOm_86;G$!N)*DwtJOD11)|gU2_L zi~V?~CA7)8CpxgFFczO5BYUD^bm=yjzF0o{UVMLWK@2I+5Lry;8`ITipSU=mm|Mnl9 znJgIO-!VkSv~JyYMGjgEq1`<>(pzDeJH{hfcCP_6ga!EIs{$nXPm?gh^+0{guT=vf6s@g`AtyJvjy8Q`|L`H_KzXDwzA9eWs5@G& zkeHf&^hmC-)IUNXk6~)FY2ea#yDo_s$U%qnsyr$!s5u7sf?wET34rrPXA*}DcH6mI zAGYhnRVGyLSk>0=BeY8|QWzytx~25-K3NFudLFV*v0{atk=Uk2sInLws}2gzsm4Y0 zGQiyjE-ycI)(ay;JcT$TQ!N@A7XBY?yiAZLuK_vlW6+`=Qg=0d*Xt=kfVJj0wW{@~ z-$OI>j}#?ls_}w>*U&!>zA!kJ5I<>y-?VZi8z(>iZI?ciHkE~<$xD?pFY$@QSwS4) zFx=YP2Wn)r-GVLy=T_wsDNSC{Z+2{gZ0J&X97o&MG64~n(M@bSB2-u4OEcldaM++yg%iYcEft^eNfZ{aHsBT6K9 zJbdM(YCa0oacUoa)!9UqUeM&YZ{o$!+VT=kzxX7SY6yQ07GNk(G>ar2*D zU_J@jwyZ8TG8;1oy%BC#JxSH?iG9CUv5Z1vrdkWh;%_h^ub*wN#ls*DOS6fXd5*Bp zWap28EqK|`=TCo2>+6&ti%DSvxCNTfDDQA{FZ_0@|S z=9KBJ%Tq^`xZ_zWRbgFQw;wMJ=zjQ?gs-ANcPhqE% zHxhb~uV?6y)^hj}*_Ik%Ara&s_2`P0uuSNm65)gg4CKTId!LTUvNrEI5{esCg7qa_ zZ%NKc@*F(;2yef(^?uwEZwj%FhcC>t?xwV~#R%8IDP*#4*dduniB!I`4*Eiwxus*c z0O!jpOU?aRxw~h=r`Ue*HYF3SG$>AlqBwf0zru`9ANy*(l+h4h0~)Uu7aP%#ZVVav z_S`YsR{$fg6Dmp;EVKguR^c_0c-WmUZj*>XEzSz;o*t7rlk)h7HTpjY`6?l;+;NUP zJbpFbZBDf?u^wu_;T-Al;(^eyZU>qo@SB14VEoVP%y#IA=i+k)&WII-R)vj^KneVKh)aY(NVt;rJ%Md~tdfSSZv}>8+M!LM56mQAT~`tUN3NE6 zMGP}gC)cQUzn&dU#|#Zyc%xX13QKm(YdK{2&B>M;fef_aEj)nQ*^ge5zt6V(=Jnz1 zxm5xCzZPe1wqVd&q&PiRGo0?sMZUXpVmCVFEP5LA#1+0QC@Avy{E|{U=+xYVzIu2- z*WJ_N!!A1Q;MFVB{Ir%JT9hXgQqCVBBxIbFAZ3)oIMd2qCY6mE2^l9vLdIp2SrHgW z$ap>yGG2Fquyr5X2}}0+g~OAtJ8G>$-$QZtEHH;>{u}my_(M~GF|J8sl~PcGR&X&d zbc^$v?3dyekpi3{k~F%$dM764UAU5CYf2i=JS9#v4oS?Y1iCQ#b#VzVuEO?hFZpQJ z6R)pR&!c?bWvt^^&S9peZ3zt$E)F(kV*#ftAs4KxHWgv){Lmf;mJiP3&oT{{6tboF zqWN!&j?mtcyUW1DsBc3MtdSSvs-7v&p1=)SL57{3EQITWmUA^5n_JD%~SQ` zX-k`2Q`6G&R{LgWuFHy3HN(!+jqX7EvT5bZTP^k&Ao*s@J?3J5`wc7Wf+Uo)Rzq3w zqxL&MBP%ai6Dx0_z4p7@=p+uZ`0D)rvlezUkb__N@it}o_J zO}k+^T-AGG4eF?V4*9ja0i(;SIPx&jo__58_tQ^MCHWkbGcg#D7r(*sap;?It1s=G zeeMetXKsCriTh|vnf7_qNdLTLFa=Rn`yz*@^&11rd5hgwS(CzjALXz8QxR$9M>3lL zccKzw@%ed9xx${0Q9Pn5o-~AWakI?qqNQvfQAH!^-C*h=M@T?pysc$**dXT)k!pAm`xo+v@h((<$q0cO@vX)`opDCN*SsMpY+lN!PtILvi?S1N`nzjd!%l&H;;I|2GDWIb z^AoJQ_=Tua#}0^H{Z54YY{Q^ogK9bdA=-Hg%Jsqvtf74gg6fbKaauEBh0B-W{g30L z7#l!H)zMNC?afXCq;XW_ly2ITFOg%5Y30i-5#!VWn7mgT7xGYuNl zBfyJd2{S*U=2I9THBQ8IqMjdr?zQ`7T-HX04)b^_Y}C=6~jr6=SidU671eS11&biNwQ=lvax~=QTo{<PWb%Lh?6mTUs}mNDrgB!kE-zPEBW53mjbk9Vlzf|H+NdMUI?Yy%z^|N@xME9ncvX z&%hK;aVmh3;=2W-+9xS%xMCko+(Z8KeIWQgt5q6mo+JF7)|^9jOaC@ ziVk?Q8&PE;2s}FPcyKN>a}>`5=6?$9%NZk29zQFxkMLXyw*bAtBof(3$&Dbw7}#GT z);0F2ZlHkVxHYmiSW#mNLXiwmAf9f<7c5T7B^}~uwJ)mzlH*h*0bO}3)-wMj$5s0c zLlwh~T{*O!iIl>OT?L|RyK+Cc`gw9 zG;Olhf}c>{a-)lGfW43#m9di>g;0kO?{5ZiUYtk|0rslvBou=C1uNdX!2_KyH#}8{ zBwApq*%ECLS(-cu-$z!_|7vc?IOkh^3K1A{Gs^#+tW}*(8lo%_)N=1POQiK!YrxB1CE6>9* z82^I~LFLp-QUa{Ygs7XWf3oA6fb94?^5mSr)S|OkG3^t+t{0jj$ja2^6iXa=&d$Qh zky-&hrbdr{vf}~y8!r}=Xdb=*ko+izSFiYI&lQ~4?%tec(F@ai)BFeh`Cupke}IJK zQZv<|XCbxNRBuA+5vIej^2vc_D(COmO-A+sgnue)K{%Cs-)>Ib(1Zdl&gWO-JIn!v z&)CY?SP;U&6k2)iD(yXjP6J8Q+x;WPV;?4fWu1K`KY$b{)BHmUzKxK-$#(WgzIjsb z&i9OC?(;Q|?*hS|a`STBPXaor@ui5*WP9R}sw-hOo(HY#As@?}?-G1Gk9eQ`T(-lQ zcG)+!dTucmFs1Qb8hx?mj2E%*5)q|BTK@h%uyrIQ)AyYhj4k2Fk3J_GARo! zOl3&qv^4Smgw~Mw1to~LZ%cjleck+}z2rEy7~-EmX?R9*1i*iRi9f0e z#wt=7nSWiYz1RIsd2)ff&l%8P(I8e2aw{AZDv%|G^E0#a$W3gVs;2CZn6nJZFrv(+ z`HD-vYAU_FO50KGPtRSj1GO`#Pn{+P8mG&UKE&!`FIUE}myDR3neH4+toX$xyz7$f zRY_m8Q%F$7XURt-+)cJlwoTp@LT_Wqs&4oy6&cl^CnUx;;W7Sd`)QQgByvfjzJ04v z{_^iYc)htlYh0w&f;RmM(lu=9(i$SR4d z(nW<|Ni^1H3VaI>yhnL5cInG%1`4x@&A-Jacv+tdvr-?u`Q}Bg9>zKxth)35=C#Nf zed&kf3UdW$4Lg_e(s6cqv@`c|tt*1^B(+d3C8=>&Tua_rKD1eGoGKmglzh@mOeWP8Dp_YaB_h8By~`V9Po;&|*+|1KwAt4nJ~XI*#i zN;#3l+^R8kcsw%sv0$;u%e|iWGdTW~mt`Hei{6~`OJ)hz4tpiZ;MfwbiN_rpeVLQv zWCEy>rM9;|g<<67dUFrDHJ1v)CWD8`g(qf-9G5d!N6kg+^#)Z_fwC~3I}sOBlgJ7D z!CC%E#+TM?%R$fQ?wDv&PT9}wzv%-Y{qMJW7Z@sZh4zgc@aa>L~e zoFvkJdY7P{s!sTm#x~L=TTKX7W@e|-QCrq}yfpj`1aV8ZI}!Tym~(XkiTXq^mJQE; z*PwNEbebpPQ@ML%vV?N=K#YCvn}faYKpsW=I^dyl6YZ_-#hl~yn;S@J^=pt9-tuy};(#H~c%^lAR{O+1q3{$4ORddfTR`mr{&zYGNH@jK`>%0Z^5(euT zmTv$T?HV|FbVBf}^ydJ{c2=5gncDo&y6!W5u{yazzp4jP5~}Z2@feF<8~F@`6wx5HODgOSuh#8SQ~tH-Ca&75go#Lao~uIqB#A1NG%eq9WXt#YTm z%u#*$+j`ZXWIAna@i(zerargVDQWqHq3bsm20ax%0t`%htV&8Uq%U#Dh&SXflrTvzFhh@JG>w{8 zN$QMoZsq5)vlAfCOH`8P= zM&|6kLzy~4GMZvZn6G`qJ3m1h-2a4|Xm;S0qJONceax?z-J0J?*`y4$1~jZvn&-1z zpRP^M0pzsSfIVin{*+lNyK(dhxMB23_p_XAEC>5d9+}!Y`w!WqvDc=JMR7Jc*`x^w ze+_5MZYn;}?D(Xje?2CN{>SC;6Ir{*wI}`kBb=4e6<@?eIR!24e$w#z*$%78o3Vx3 zJ0TqHeJ!2pd&=hXw4LNKSYp(EdBu{KAPRTuUlcx19U3(pkkvO{k3AJ{ybF>g-e+Yu zKTl5i6{8j>tMr~4@bQEG173g7gG6JcwIHKSUG%zRhV|K9qpQq$C_CRo8-j&aaAv_g;-$?m zi$6Yv&#K!sXfc0`B0qCjH^?@Qy8WnQ8bqo7{4qQWAPKb}-A9gz)Bc^RJC=={5cQAg z(mEA${n_4N8@6OL)e&l(V@`x5|rAyPSzQ@ER|2A>e(_eOV z^!hCYoNvHsIDhB4-^f?9%`D)byfmX_{VvJqLa{gOgu7>V)=o&mJeK9hIw9#Kt+^zT zQKX#Ea9NB^lFt9R)X%x4=5cbg@Be?3mI6&Go-kPSG{1h!T-Uv)npi*l`@9o+Z#OqoGjiG_AG*!x^)y{Z z|G=!xSs+NYtmw9%$3qS8E&DT(k%Op_l;Y4hiDSl>DZog`tlpu_^&*swH#sap`TbAU zZI?mw(D2PE^2ERKTo)-c*OVUta>Q&N_N!Ngd+YngEpe$@89yINRT!w4ellj#zCBR8 zVcTHmpcc(QZ1UQYdf2L9RF(J&(wl=q?-77BmGVzmtBNId&aI335ryNQfcRrVC~QyBZzY2d*fDJl#aXOp1y5g3d@Zp){>Y_LIlU{yDsvI( zvpjxZTKc|e%*pmdKrNqH07l!XTh9H-iTZ@%kBAmLVZ+%D~!^_OY3lsZqKB|sL~ zQ^n78XmU>f!b(u&ylgieR5|Z?o;od^!(;? zR+sB{&r!X0>M!HY`Rr=Fvr*~#cVrZotPgkQmM0{A<(`HK75}0q^r;wB;1io zi)S$Qmt_0qW1{o&!BuR0%cgnl@e$bo%q7XxZI0 zZSjjEO-Djw7gmpQY~g9id8hba*D?AtJ>$eMKs)y-e zaN_c5qMBy9jGYK-c{M*D@sZ&69d)1AsI6sez*=nYa4=53slQ^pvRsm5J^~eGya`d} zIwP7Hgi%yh-Iy50$mW_1P}R(7T_V4nxH7uxQ7*7suWa;$0@x8%$x~ehwB<3>QzslA zA3mIMV_-SZwoV%cIc;0-%3o;!eXOBfQrDsq29Z@*3hhKwu4C0M2BCc0Hm_y6c03+` z88svGWJYF}sHj-7j4ANTzYkerIk?ye>1{3N*NbysC*`vZE4ohR7UXPl#%*5;L7gTx z`vS|cC*1*2iuI#X37=^tG)>~Vm|dn?z>W(%PGY=EA5tHGuZ5A{E)}RX13T><;dfOw z0A3naIMWvk40d1)ziz_@bmGeOvz-`{z_-`J1GiCbjFiTf3XN&V7R1aq)>HkFsZA64 zLvLt5OOSTJ%-FB9zO){qG}bXGr+e^dk{W22$&mhpmM;XU!9+n*B_ptQ(^RTLw7{6N zZD+#H7|ezub^6PR@OowK52~>OPO8JERxtia^fIVHh%;Qd`#CuCzjh&GM&iv~*tF-e zC&MnqDChHT=LSvc7Zf}H%u^d*45ZS>emIP_Yn8Tnq1*!MvNU9gx}q5*mLFtF0B<0gG}UW3^`f!5YVH18;w{X8IM* zWVs*8WHEe(C6W|~Rsby!#}7m1Mu;tA#V&6pUfR|op8TR^DNH5`y!k@%wH6@#H_%g+ z6gJByo%7-EK(!8yU9Kbie=mDdz79l|+FPPY(UgXiEgub01E{KS*?M!TI@3P?nn?hpx1U;wFwP0d4Df1<-M4|nYs?X#-UOrX*;}tr(0oQ#R=_H-YH;Wr z*ELoN`>d1DV(hAduL}imanG=toYx?B4BJ#uJwO7$h5pi^LHQ6dh&FeFG4MM>-!-(4 zgiu+prb`?`K8LNpl`ae?)i)DnFZ6ln)vYDFHE8;o&d6djx2Sy9hwn%n!yA~s`vdde^jFEN1PYs!0_%p(u>N~{kScfmJKRkyhzIXq;$J`Mo*s?{=@M(zKt6YtF#VdvuKgXo*jqxU~NTaF0Y z6|Mwc8=ilYC7Wf$7?UmwPB4sHmikAT8Y(!3gyuSiEHH`AuVMFg%504QsHe}DRO5jo zmR|dGAct>!_ze3g1_J$#OIuy~sTq9iQSy*{4`!ipLv#-&G}R!WCLbfK`;bzsxg3wt zd1|K}ZUiK4ky%Wa@RMx-X^9=kQebz`1+75&`XT|(>HLL5b4y?ffK)F%@io=GjgOdy zuzw7L7}V~9JIl)RZ?NkZ$E>Q)JyjYK-?3vGlnIFuXM)?nrDX+}ia0mFW*N0n>V53f ztY5OZ&!Xqwl$<=sieVJpCz30pi^;KEk62RWe>RZ=qCJ_4SPU&nx(Q|9!(3?$2AoWp zF>#da`mjeJs_xBf;=jOHYYQ6ZAn=AHb1=n+5=pnL2u8;Xyc&y_3 zEpg1)Fta;4C_K&YUPb=nm}cmS2&x}=>z;U1);H}P={{5~Q28h%7J}5Nq$|5dR`;`8 zck~5C4DtUaP-&JWRxh(i(hZhgSu6_%=PlBC%mp`YLJWQH@cE7AyCO7)6=sRrbxLVE zz+{{vPIM1D6MH8Eyeh1<9x8QHWt1{EG3oWtI?%J=kc!V;;ZL*09**SCa zMcNq-3oi+LlWTEaB_F~h*&CLL{gwAU172Ur>bwQ~7Z6S6al}>YI#Dd=F7`e{xGbtv zp^dqbNX}#L7IEIfWlCiTvw^WBSa@tMfG%O@V%SAwMRW(puB9`bgXJ$fg5FLONHBzT z*+^qzMR6!;uH7$P)Q>NU5+=uFayb0>)7{wVe+u*`{l=f}T);8Tcp}E?cH;}WPNp9Q!)=&Iu z4I2bUZ+ZvG(D!1-Ej*Ikt(g`{{86z=GgJ!fvPoNkk);jR)3J|%{dbGmz2%L@pUHD0 zVO#h%km9wUdEua@JsD++U-KdH+!K#4$QRLzGRkBnSI~ZjNzDJ5WB5DUJ~=DkFCGJl z>;@tR3Xw8 zPK#(~3U2e0jf6FZ?0Bc`eQaH@ulv-Q_wVdoi;jihMcnV7AC}wQV=tmCM9R8e?ezI-ok4eIK}=Nim_S%n^f9LSwMQF4zMuT#j(t;Drk(;5|9P1Mf|Uoof}<)3qt( za!X(#^}(8)YDoA^=I*F?vCIe~%zu+ecs56Fs97#NqpmgRWA$xNv=7-KR_g7O{)Zc| zjZg;`%++Aux6lTgArrB!a|`q6nUBvkr5XX{$=Z80;2$?F#zyCxW)!nI&nY@Rd|tXI zUOOeN0)fHga$36_9@{-1c2kUnIuP540LI!qV5|+z;Q^u@s7GJFMJ!%|S{NBQ=M#zQ z_r87u^0n5(WZnTr<=ypB^C~P<%?s1;i<Z?Z7FXvtQmN-( z&hP0DY-PIv1Z5_(sY*II?1?6Ev~qz|NH81!*y*can-^2kr06Kz6W66>4bwZ;)(HcHf z%{#(WvKJsUo;`nHpMNdmI{pOhKK4&<9NY{(AjFXXvuOrJ-Y$U0S0}G3Q2~o@cc7M2 z!_WXmYw(lYHLCrQaCtS@9!h0=Ey7UmJYxFY6N-*VZn)3aZezDKPEJRI&lVR<+1kV8uNehU~pxZ~ge@`+S{rWx`$Ku{abkDxgK{{5!6#84` z>8)VgV2j*5&|^>>RZYV!UOSM5=B(bqH2&i1r2_ecyEBl5#`axAU`0nU?Im~yDMvN> ziqBAL3C)@n&rQd_^rcY8S!7-u;>IOfnVIr4xeq#D-xPI|1HQ%s(F)FoPHT?na%Ol_ z`bGE8Omkm&Wuf?vuo-O08*1BdvZpK$2|XJnKESVc+1v38M#8>$4}BE>MVFg{wj)1d zh=gsFSWY1lPe@kWPR2Dy;2x@W@&?X~NpFJTt!aqJVioCJ^;&*GZ8T*)c@QcB^w9p@ zUUWD0*1+E6H>M^7Mqxjxv*eOlUD#vo502*jDjg`NOFq{hzhd7TEJtX68kv+h8&0kN zFK4xbefRGEm=0(LIlD0rtXLu8$gL7sVL>X4n8ph{S8|Mens!QHH9v5C-?ouR@PbQ1 z(QuYFFiLOIwM1sCWFHjx!fD*Oe2t!|xxjyMjWnejlmBjzMR0<83M*wpu) z_hEqqjEEaVWgqH> z=K%=|=)$N*rnd}K%?h*St(lAMdGQs{>X*s}J_@}Z!Ip|Y)LU{J14N$a{?T8m=_>f# zN)#Z1wf5%g;$rkBh77t~C-0{cCC3Dm6hjtNN=B#9Q}4|PC%-3pAQ)kJUB9g#rA)<$ zUCflJTN@B#T>M7(qkP6Tm_p_iQMc^_xDHdy5t!~PP7NdDPnmDuXdN|>y_X=uHHh~E z#-!fMr@C*ZFKbe|tl!aCZHx%iv;m<`86j7Bd{0Gcu$JeMSfMkXIP=p{1J;$PPH>X4 z>{w$=l+OYR5gC{$RpX)is~v*Dezz=D!-4F|eGp)?%tW$AxJyg?Xdi6_9-*xq2GYG{ z_tBl*M&IaX#GYRMtKPd$=;oJj&ofFic{qPW_wUOjuYxlIehK&bPG+`nkNS@-DdPyr zp?#m;JPa=3B2LGiEDsvbdrKA_?wi9xE|#l%1ueS{$Yf&q3l>JC#|ceCtIF1hU;??) z-|vC%U-FZ|Gy=XyjeiFYj}$hAydWn|nHfpZ*0D2uWGWuJ>39XA{@GRCP$9{Ki@$CyO z@Z@x{-OX~^jZnYdu+Fmm-cTsr22a1gnWQ3xvgQpq7?_C%!PT(2p@uGE`0vf{4k$BT z-52AXd6klkhxVo6Xy`56xaFK+jL>qdego=k?Uli{P!Yg%J*mNWjS`xl8YEBEE2pjZ zo7}P@X$R!<8%lbq_ z!09<;X!e_*wkA&QDiz_6lzCe-Z#gYZhS7TR`~!#iCo3^UoU1kD$_(lG{k{72Oaxt? zPvcn#HT5oSx$vbb#2Gjad-d1-pT=8!)Ax1$_vq*L2cDG+CG0F9d4Bn!j9bqkn5uw zVvy`bP894eb)Qt+ z9~$Ak+nl{T<2|zVZ4>5MtS2RE)mB_wl~vCtWiW9KT_!1(&;;Brvo-=Br!U#_)d^LlqBPV?%xAQ~w#upb%YJI;fJN;+vIPgqy zpUpyXUs9uX97;&Pc7^_mmmA>fovhj}R0AX-H`4lZpO>b-VA1j{9~jl`!1HC zf!~NH3P9I=R*}e(W9;{BvWE!7BgHE8GZeFtfbR3>3>-I~wX(XI`%jGwMTEtOm2w3< z$4RBf0H_;TW6|L4^?r)LvMdmN8shcP&^sdShPN~*%0Le~IvI5Ik7l>?|D@R&{@*k^ zh63oQ&Hre2Ypb8D0kKhAUdCjd2gnyuK-2!pNg5%dMRfQs1gDgIe|qxFK@ zr`Rechn^U7lcu?QVZzY5Y%S5UY#sD_!Fo?tuaQvh--TB^6OkZ>PC~aAP zFjFV^>%nxi3x}scp5-A1VHe#}>oGPNi$kjM6IzTngEh>einM;fLni(^orMk*hgsb;alzi|y8UksA(G1d@b2~T-+dp?897!;J%TuPvxaFKco9fsjAc8d& z1Xf5m#v-wp-6N&?4=q{YetQfu*9t_He-gmW=cJnP@EbP#=M8YO$eV^=)q>vFz{1{U zu&}S(vs}cfnv#_#xw%y`Zn9=d8!ExcXY1i->d9V1EbkWbJ*~!dQpNV}G|IY>l5H=) zKs&0u&hPorlRf(71g6nTz}^jvily;=P+$8=$f#%fW2j*Fm#2F~xovX-m)X@yb=*GP zp$czR_j1P8lh-7AU|)TrJW6hIe1fiTM_aP27Nz-leYhkeQF6J!QY-Ah+3@vP?0;n( zXcwEn$kGOH1!8v!=Isub{z#dBZk-qQi(w6VN|OY3gu%&HO-?@>MVv&uCpx`nHv|3tts*GjQw>6S^n3D6DxIV!<6NygX- zfObcG34!|Tbpg{Ls@QCS7>g{7`KZjD%AuPFP69OP=xMyrJ%{c=3f>YJ;jH#4m>eKK zmh6_`+^^iFH59P}tN&coGz5j9nU$-;8o*azK-Q4nmhwx*g1?@S{k*a%^F04xv73Q@ z{vC+joxnz3b;EGKxUQV(TsLzEf z3uduAF7YDcFT^D7UYGjQ}x*HU@tzuvv@t=F@dn>zD4op7Jsht%PgECq+k!hBl2vuFeciv|@ zvdjiMgv%#iR*jh2%K$oHe#1J%eDmVG^Nn5)3_7K#*=%6k@$E=u5H0Z*jIP#S2#51; z#BlZyK}5^j7Wqd3LSi#vy`;$;x!W^mi@F=nrUMieH>(b?hg<%b3mPa;aC1=|}qaT9RunJcLEwm-h47Zj&Yk=506CKTVLct0c5Y zp{$&V@11So;^RRMY7>+kSl4Z_g(;V^~(k+g5h13e>2TZj@I(=<;Cn49w%iFiQC6)Df&MM>nAh^HbVHtELHRf2)^fJdza-nSevag zOBs3hKhb2Y{o#VyCD#Q^8VKO)s`z(K0I~eWSuRvbj)VDoT;R>mGftnPfJlDnVG_Nz zjJ;|b(d?twivB}x_D%z`Qj~dN=?ItYnBAvm%u-d-_TRW-cB6pXllb>P5&STwv#0@x zjf+aoN+r9YtQEjY7(QoAtaIgPO@8@JinkG{09(_s5~lTTM%iqqXU-vp5|5GEGKadd zrb^hXO1i>fdd_GuzOtV2THYKm;fotMX!ZhvvY99P>Ex*LA!rNdW*+|~8<0Jg+OlNl zu%~H3V%zjr`na(*BgyC4UHd-XzgC5}4O)fih|DgF9jNd9;(;o~8qOqkrWM$FaswZ$nOciOa+ul9gCpZz<_UliNCmwZXa zp9p*h>y_X8p~&NQ%p%AJ#H9vWqZy(cErF)zTI%M3RQxjU`;AU7kTc>=1y5;|4Os{f zLjg@K9wh8g*jaa2h8U1nDp6=|*=!E%*0XF!>(gE#MPFXwOu?e4Q(@9{Rzx7JE@q?Y zzCL$#l(nvV{!K(P$HRvj8aI~Y?filz&*hk2@wTD4C}9-_^#ZZWJH%h>y;2mg>vm*K{} zslidZ35kCpHhhL61-o;yA;E47ceKi%H6#nd1l+0Y4@Gz1=Uw{VZw;8Dy~ij>wgJi9 z8iOf_a1sTU;|T~hokBIZ2BE=J*C1g3YNqPX`hM^Jnvl0M^tY{#egQ;fV@>>w>ZyP@ z^q5j^ZTmXsQODH{8#+L&apGtKhrP z_K4~PU7WdwQ~^WKnM4WbfzY)JH&Fpk-ZNkNF7)-LK*t1O0ne+Laf%bo0WQjIP&)3s zoZX~}fBQIze*(T0b;H?NdA&;mQR&1(Bu;+i4YoWmxm)EZH_W(KCzLpaGnrpuLx#^H zQdCyK$@e_FM=$y_tyor~1id?U%jY;APU!krD#?&cg=OHjY$}MN-)A8O93+(>( z=`T6Fmw+?j>A#4JM$74Q&j}puv=>nn-Nvf*{2`^F3P-4XuX8&wPr*^B_wZ8llT~Q? zA9o^q{~veal!w_7plFzo$>txJY3fD43S?;~OnHMS)B|WoczcM#(g8%_wh=~6I1;1w z7N8GRpSl_VF|K;TjxPq0wSBZ`)gIEvgTM$ z*dAdeDUvL6G?5`doHD#3!CgB~()EgXU=n9q*mNlo(8iW3K3spS_H>H^pqo7*3 z+=*js%6TtvJOCj|Pjb1uVViCOUMC$EbR;F1e5d_FGMF1GEp_R>E zPJcO5bRXn?nCllu5De{hO~@;{icL}$DnCzJ0~^#fV&r5-(iST3p@zb-4qW+O9pnsV z&NIFotlacac>Z{)`xoX`viDa2^0;>t^gG5H%uOhVW#|?T$;gSVL1;vD=%|ycYEZVb z<|t>zG+eef(}#_5$^89j(0U``gs^)!-YB>6$}HRbaAAW618N9i%q`xB82*xl=j}nr z6A(z2863_el9dR{AMrNJ%3tgN(HT)}bplJz2Mwg&Al1}kY#a+l!3ReC&i7;(bQ2C> z3B-#QOf1)8y-*~J3t~EeORl@E+XwAf8Tm0omE_4iA`o zPWiG{0NJL(%Typxa+eX%+cqawLrFF8C;!Pv@W?q#7#zun-;tC|37 z9)I1GvbLtk6q3#mDNYy1e=oOYI_OhPPZ#IqGnERLbxTb@bP%=Dz9xXLWv3Lz&V@s7 zaq4^IlDnkn66Av|#tsO-mDz|Lu(1pT=c&; zm9s1tlR#AgXq^4^bq!RU4M56e(~v0tg#IR+iI`w9Ar(X3h|58mb3il=fOX`6%mg1I zUuclKB6rbFAq%e&Vx)55rZ$*c{d(=8=uF9 zO7*^$IJ$52@`5kV_pVb90;F{i9|e51HO>4JQ9F1=`04DK+M{f;jQ|$6S@$T@o;{U} zqR)%CU3tL^I)PCy^pc;iHM9(S8aFA2i&>F9jj`&HJSF{^_pXMhqp)U={rQ?`-uWLJ zql4*gSGVczhNJhr@UJutHdT6JyVE7EfR!y(>HP!!GN|!mGEs;O>Zi{fXZA~(gQIR{ zsTnYlES!MNJPYN0&!QbUfnI}fL8=(|@%wnJ)!7mIY2Zv>km{lB)2o6EgOr+$#U{<_Q zoGjJk>FMI7iwm8pU=sW_MpC*`BCqnR`IZCgRAo1I+3*HGWBRVSPBAXiAG~MEj_)iV z$(>94hV4o;zdQr4x#49yb?|JM9w?nuh+PEzq&<=TLm@dD+~8Ihw)B4cgM`$f{**JV zirAL9R(z0wD^5x=FcKf)7Z;Bhn?f!&=2{jz=|5QviMp+*lW@5#AHQW&od|9#M|b)K zy$|;A|2Tp<-)dPzNMGank2RLd2VDI~6^dN}1Epq6-63TE_!AdAN^LomvbouLzRG=t zKm0vbNv8C_GR4d-45=S$ky~G1v-jv@o0W~*+%|(Fn6OKX1>TMOL z^<6Hpa`3m7JpFZWbjbCkgQ9e9RM9mBQ>l{iAISO$+xR{{x!Jfc-B@bm#1~`1$3~CM zur_G;z)~ujOnn9H#0j&c>e+mHWwWFX_tWV-Q!k23o+eZVJgKtXz42F{crXiarCbg_ z7g~C0xgi!Bo7&7&JsEv2OqtYQV-;wqp!A|29!ANN1!8@=NLJBap=;n9F+q|V6*tS5 z%PuZ~{wTkfOLN6z~` z_a(>aBC9fHA2)YO$WPkA+)Le9t+ z6nPXb6EODSID>CseKuwFJzweAc*+Il1?FOOJcaGhegNuPvyLoi7gJsf7ps4um7gW- z_wroHpO?C-e_dka$Fo-_heZU@e1}hp_ntE*aMuNiE}a|imE7% zfxmS=*2nu`PIj%XaOFps=}~LpBM7d=Ucl$QEcTM%ThN0zY6digt2dadAQy*Nf&_v5 zX7WP47q@+(w@#GejeebYb`w5fKN@N_jALK+a?{Kt-za}8m%^*9+oEqc!JMQC`;t~y z|Aw7@@c$e!B&L(f|vpN-W^_|^80I)UQ4pE!BWEXtKaVa@Hhcte{-yG z(|kQYb1Z~uzLlT30zXT~7*Wz+eg1ZEd^;a=kZBKvmpLi&3T&amc$gTW%tY=6B6;9f zgQG(4mcF(}>VXt_NN-&9c)MmWHpCfXB#w?!9)%Nt?m&6=-lz)ut!)eMieF0ADF!6E zu1t7KW%=}iMg(j&RWxd)K2vb+;eycW_{M3y`5oDG8}NG}%28fT+61Tcw$pYDIQF1@ z9()J6%s^CBeBFIkG*vfBGV}IT-s2=$M@C5KkZg267iL!(eo>p3w-@IFIoAuq#gs^w z*pWNWzzbgw4$qTqV(-P(8E(B0MFgzqcaw|hZY=kzXtl&{m9JN>-{YkF3nsM>g77HM z;5;>5*ZW9$k*BcBdxrIqf{JsRJVZ>{-*5lEaH$n^M#2aN>35Kpes^?e_O+Ee$T0!1P6&$gFXYapg}g3fXB)$G_E zX7}GH{&A2J|G^PK$f}{jB@ZEL zNF7Ydf*(JK9N>L+{~?~vqd>6hqd+myuQs)wiQSOaJx)47IfC}|PpIi*5nP%aY^NSS zT}^f8F?~{+_S7isrj)T~C24du6(IC?d{BD@2)>5Dg1ZYkf*xDJ_j7r%{NXMu-*^%y z3JQ|Q{lSlo2kN4~8+PM_R-O*zDTyF!3a!yX5wQn6u24+_EunysC%9Xn2t680rbs3B zM0OkzGNKH(fRRFqA?>tF5eEJ~kvB0lW8w^?>q;W1!e@3ki~gk)yxLq>3oWh2a2#Ue zwLs3vuSdGP54kDlDc0`{Y+N8UFh3N(a@tnE}#3RgLI1jKc_U`=@?P;{rba!I1Q;1*nAFex-~POdGh#3 zo2AUaNmQ!i=jQtekmKd6=a0vcp%7OOGO>*1htki(J{($Dk<&MVvzM9ZCzhBz#+H~c z8KZUo-{)>JEk|$*OIwV|u)zCSPsxH>IoCmE-ew9#7Vn%1Nd7+l-g9h$G~}sKv{Qby zrsPbWa|IIEX>gt0pFLt!GJ=`f~ktL(G=u@Afwj)=3MZI!OK&|Oz6$Rz8#t{l8* zKi&s!ERBY6okLOv7?4_TuV0i|FzCJk>TP;aDOJxaM_A|XrvzI8z4vUbDyBHnI{Tdv zzm1+PPN02+K<;wW9|nsvSjj0i?vI>o*9K2vX>`ieI@V5ZE<{1$x@8i~#R8DINJO~e zso_R-qJH4UL$~Y?%?YOU^P-)aJKed~D^(>p-xUHGa*xQZcv)OmWD71qpSNGxJtNH= z;e5>9wwEIid;jG8hhRZi?J^E-c=qlz_#MKpipzl!ba5yRH;tdW z@~6S_X@UiAQxi@342sCt?h+L9;fme_o>ep5fkUM`6o&)<9fE)ZpRaVGVh1I${n|D? zjoX?It4P#%6A&AX&QYNo<3qiz+rkev@QfSh2|U`O$PYZlACNZFZwHf5cW_hCc5w3n z<=U1N{TLrtrHj-FmZZCrYux$X5NplBB9}Kr?}gM!BVul(9eKJpB)fq~*Md76GVIE7 z6L}vD>OAm8QPO&T)@#Y^FIkwZfCbdC7gC0t)sMjcJ85U59?P(QgC+z%Ca;-tWR~)3I>lc4 z9ot$98+A4NKp>y`LuYj%=0CiqDOzJG_U-9xYf~vhoMWrV|1N$l=g6#TcZ&X~>Cg0} zhkVn2;PQmK_g6I!aayJWJ&3nUn=6Y;68YnTf;+hxo@6owenNfMIgWz1>y#R9o%oZ?H!1Uk)C+cujRh;iGaxIZpWF9(IuGg?I9;EPxJc}?xJc}=xJd7=T8wKK9+f2cn_zJF zpYhAWQp-$pc^?IWgqW0kk@>q2UM^{(6{RDb%V`S!i^M-^KX#+c(r!RZQ}>C~C`4P} zXs#`=?Zw0j&p>YfYdrdO^=kce2YOu9&T8DPL^yw+$*&R5fDU+V<}a}E#Sc*N!KBH% zm{Sk91@RayZZgg~RvzbTNId5$7O|d0BP>}X6m71`T|_nxy4;r5P(>dhURK&XAN1Q_ zc*SD_@hsH`dWReLEZ^s+TJ=xe#B}a*uz(=P4*l-4&Qa1rGnZp%yZ+%l4mySmcr@2^ zkp=gJSLCFIQ74?6V}Kx@`&AWB>Pyxg@HWdKBNMk6r}rn{zamA+p^)NJwyW)SmK`vD zVo4%h1d)(p0$)zh*y>>OD$Wk}&E|jKjLd z+CG17_tjj2ec5_+U^$!Yk&7#i`Tar4$l5QtZjU&5Y1n`*1@48rV94E}?cd1saSP_H@7K z7<9&JdbI2m=f-8xNIqhYzsdLVB)um{^H+P3=aRm-Y7ipz0NIzo<({O~9rdRwql?r# zs&1<*BGw~G{vj2pvlHG!y+NfGia@o8$Iuy_pFwNUN~hqXv%E)Qx?J~fKq28qn827; z_`?JYWCq#~W6D$`g0p$v^G{0O=O-d|WVtp0Y1f4!^=rb56Fu3odFX6zxBtpilc$kV zQuXU|EimyhXdW-Brl+@_@n{SSHC*U*e>A?S!cTb9li$7q{9quN=aJq2McJE2v-w5u zqaxC%Xkv=c5>t&a#8}i2GpQ0|P(zGG>Bn5N1Zhzzjg0j>)v(Ox_7O2|8dS)XT9hBXTN)&y`SenN=W;0N=OS`m5^3Q%;dP6l$lkX zoSD^Mxcom+G(~%1@T*d^VgZ!5C=PNI2AnF#h@bpNUE-l0L5)UPbJtdU!FN-r$WG(~ zA1v)LjmDQ>Cp!0Oz7nthS}>O!1-pOb&ShRYr}6*N#i>yUzApSfA8;vj7W$pHAPU43 z@q{<}I4{1ZN?Nb@i)`w4j-Y6Km=J%_HcgN7<*yMLS*3U07Icf5h4ENudQATsj2Get zbFG4p7&41z^ls%E>fXvVy)ep!rM?uD%)K!7I>DvK&>fv-McLOEEoY-`3=4bT-;(^y zF)$H^eh~j_Ib@0tLpu#Y?3jqfAMaq-r}Z|zk6xadR~phwVGND2>iWor4uZPX60cxp zu)cIiTv6IEOSQuPL_lE3dKAMHh?jho<9+yZ!3d!OY}4+&U&Z#FvixPEK_WNx!@@DK zUy5|5B6O0wCg0!mJhdCoqser!7JP|$U2Q_8%!rJ!NYkrW*E^7gOsITKm%pS(Mi~Z- z@sw6mciV`iuND9{7uf*Lf?gdn7{5=;BFX<3a9KL?pZY5=;ycrA0H4b)4FhQ#WKOg9 zDdwy83awB{cZ-`9o-?9>&Vts%!9inczNo5y1pZt8i}g~y#W!oVzu#%o5KJoNRU@Hq z9^@6h#N2*tLM`xPEAbhk&7Yzhk-D-a?+o6KYRhW+W;P`Xf>8^?66QXA4T8^`$r)&$ zK-hcUHdfyQZUh>egl~Dj^EEa(o%@_r9+r@E0VB8hN_$g(4MAAZ>!-ockHUNk6nPE# zmx0(Thcq`X?wEQe#qTp2zNIex1*Nq!umzA987>m~H{QE{?3CcF0W_NUNxa#b<@Fo% zj@aWwLP+6)Ao{T+>&q{bNbXhfBAx~EMrk9EdUh!)_3ZflH{2~C9UG8_hMwk4_6GLm zId&OOM?-UkjfUppp>c9_zUSlRc;8h*Q}VW>|2@gOPJXcyxBr{NFcBBSB6}?@3a|T> zXaC(_Gmqx;yroH3n!}af1oxzgpLukc^3A@=?%vXL(<+fE`P)J8%C)hjzmFa)Ip!F> z8SSl~i$cA56j7_9@?XO8{exZEX0;52@T4o%D6%3zPVu}%$i=ot-^++L(ptVr9cj9I8**SU5$JMTjhO^I0L)T(BE28Cr z=>}HUTYk8deG6jI`W}*=e+?~fWbPRjwc#5*Zc#j&LJBG?zZ+!#%)8>((4BjY)cl5$ z522saRrk6K@0Qhl4U=j9@UH`qNIvF3%uG1Fl7fg z1Ur{3kQm(5=*~V7)vj@*D6?Q^G(`CFuOr7#?msj<{u-NF5E^z<7$EwXC{-_QuHA`% zs=%4I$!`)8=x6xT*Gu9q=C#E5sZPP8^qgcrj0nsR{~QYU}XOZ$Ol)ESrHQ=uD?|9kpv)g>&wO;};-Ex5QexqqUX`AY5nj+qD&N2nXBMKpS=`LFi8*}N- z7X3=I+tp%I*Z*^Osl7xhe~+HMM7L}jSSEk{V@zv8bhBd9b5EDu_V35zfF8dqIgOW` zH@ajSaknZo#Pzb0!|3fijlP|xmpUV}Kn`j;fx_dLX4^V+O;2cb%Qa~4(d_JI|KTOc zqn%=pn;!L-GB}>wUOGd9q;Fl}Heiq9h?Qh}`g|(Zd1EEzaoysF1K7evH|*DLw+byE znFY@qW{pz}j@j1?<_)TiOtsKCw`{-YzbixaYr{l;T8QT-v=Fu<_VPaVoq{;kHZJ#J zKQKNutv?%Li3p&%u_e8HXwK760YMvz!KHQP=l zK_-Z$^-{?i(_5wIJZ@K7Lf8=b49iaUHeswc>i%kR9OODnKu=wF#vJa|cib0#bs=EH za7|eCBsucohp7L{5j9>oqPv+1T*z?%4ZM+_2L69@L}ngd_ssss6M4-Ue2~|;0$ON) zyTr+zqw~|&!+3aj^s&mDYj^G`suGz`NuGx(=$Ew zv+dU}{%-yk_2+ff`2p|NYyOnExUcg-z6- zoJ_q}_v7z4e69ZX3?Fr}J^k(9s#bWT+TX=HZ%<~WqD~)u3qLxoI^TZ}i#q%J-@o0b z4u5wic{;ZD)T53Lc>e95zWBTPPWb%f(YN!}cfX_l4H(ZKN&VfxtDk<-Q#(8RkEi4K zv((?8PkGdK+NC0PZaN(Ayw$B6{dhOh^@V8PnZQo++w-%ksG|=Kb}t%^%A+D z6Y&ql({XUXbGGfkbK3p%?a#v^BZnbwVp8g(fohh=csST zyKi3|)qXyHuy!|MQ$XDp?rDCqao5~HdiTRauy}!lRN=MF4{Hch=Vh3Pyi^Y+2e?Wd zh9qjLp=E1m#`>hR2Y#wE$&O5cq?sXQPP1eaId)TzDL}~D3?z`@026Q1sffe{v}^f3 zbZkNkOh%XUA=hbRK?&@N=tUt3tCzu8e%Yrx>O|=KeKPMU8Oy({DX0Yz)mtZ74rvmz zz&RUIH1Jd~7kS_x(HQjzAyjCSQr8Woz8*w$tF}OWik;ZYKd*z+khbWCR!MW$Kq>8( zX)gCz7FV}Ds79i)Is`$T1aMCWN;HhHpuI``{XGXai6lkx?|`S zNJ)HCXH77`N!zX@^9Px$&@QFCdSO)qNzYOX68Lh4u@N~@A5#UrfTYn%_2;ypf8B=^ z1nyy`U4}G+T30WUIxjvKC4cJd$3xA=2FX~>1`LP{batGF#Cbl0Yz2s0J=o6H<&%#<;#8O^1R(Kw*J&F#;tYv|x}Ee6U~>pdFsRqT?z44>0yJvbIe&m;lYCHJFGuLttV7Ar(Mr@Dc!dJ*y;S{_SLpVif;$RVIi zhIM3O9!MsEL6S*99y~Zx3!+Y(5}B?@Hg%IBs&0Ry;f28YzFJUB%_z?C*MTJ>CT9m| zze<4&V|QryoZr%fY-(y7iHmUL0Hr54AQoARqy(pFP3YYKjJ!_=Q+^l8NOv0IWo;*t z*31->4`mFyD#g3WE-m3~17XzOBpQP*fWY>Oo$K4-e1u+z-zLcT)(ELkxQQ_9jPU$Y ztj#fct%rkrxBN)rOYHd5Xl$w4oI0EL5R$7F?~sunxWgoyyUd$ zhpJd#k>8TaP&%hf=h{Rc(8{KQ2}U!JkITv!>28E(#V&Am{G$}zl$-)cVp$?Va_RYw8Z2R0C%D}k7g+_rJ_2P6!itJHO` zT^jEp`~ER)p7qP`#LYQ=Fj4^iGtuq=H^-Kzt=#|XB^69EL!2!tfq~C<$nl>G1c2k= zt?dG4VETJA(XSfTFri`&#Amc%&$vy5wiR-Hpxm0*^C#o;)h1_JH!;yf6GG`#S$KdV)3S@b|izHrsN)~zycA2l!iS@Pd zr+RQ6L^F($e2aS_SAy*@C~p93WXIzc>+1OkLD}?3*UiMniP@_+m&Q})I8NAFDu=3z z;35@E^k1y{;ZW~02C*=E13kw|x{3))2A>m(*9*MJja&AqHD*=lE9dmBDJW-)DT>MJ z=Oaghu-HoR!-NMVdkoGTHJW;{m4!D=QOuMWR1wDm+T8|4`7+hTziNAw|0S4xDc?>^w#z@nYAWtWx5K zM&Ko7zJ-R>i&!duNbhHfZLDFAs>~C-`C>sOnyUytOcN+5!_aUcPFLmPUM=sQUbKrH zH@6`@qQ$S^I=Z2vRrS(WP}0|r^o{OTiU_TxavJvzvPqo8c@>BKd8Tw(g`eloI77S2 zGQ*0hRlM#Zvu<5z(I9;*OG+2Mg*WWFDpP}uW2XvB_pdw|J)Id!^i0*5X&RIfx+PE4%TyS|TN zc2`~Ms=>1ijOcleHSo`IR1taax-QTlIjiO?K|lQV;g_z}(T-LJ7n;GV3~%sUhK*Y1 zC(H7XZY)zo_0(9R{RO)os!pKWO`Vk@1T|bM0`%&TrJ{H8Zzq1Hv~0c6yvCzy8Ze9v z&0b0n_xIB2+ljoc^3Fk2bSt&TM-VrDps$r)H*w!SwD6VZs7LK9ze+~<@!&pFxOVZ4 zm_dW&(84hLRM`A!>Q0H3$(593{q84erwM<-HL4CC@)?-;~?k@9HS=tO2bp6@P4~m0#*&OR;MkI}gt^M2Qr^QQ!nflCxB!%m!EIo|J!Co+CzC?O#xyoY6HkXPUb1H+t} zdzNx;a%W#C2>PdO$*$fue^Ne~4Y!At@6=;S3fH+W`;S@n&Sm2lp|NH^DRLf5%(n=Z z39QfY;`xmfg&#}I!vo5e#i#dA2H%F$GliMjXmQm!LxcSGm;r zTej44CpXbnC5EA@dJ0d2oSJU$F&j zVDz(PZFJ%@fS?IbjP4hq;<)H#XjHcg3sIpMM3Vx@p;tocliijde}&@ z%tm@xUTDKSIZ1Hq4tnDiQ@lf8#&7v$dKd-weupm*IEcGjhhNa&LvaF!87fpZu^A8C z1-&?e$h0g~P0mZq)_nN;YJcNeDHSg6Ys@`kZbfbBElZmT;jS%b%-2%t3NBww_Q|nm zmia{qED|`~dR`+=wi5-UqX?NlurBjRI}b&qLC!2gt948C)jHb&JJ@JiIbN)sMGp-a zDlaLE#+wgrQfiOa5t9!22UOjaFss>-oy2ne?j8jC1|?EPR}~N_RjHiXz?Q zWB|9EFrNG43uji9az`su8;7h)v6>(WXVH%mPWw{FB*XZutAS;y2N34@K!WD|L)s5>{~1iEo`HrKzdm4t%$Bw$$?;rqsFt%Y z;rqZoV{&8O$=pSf#=Zn7JG&CCR5whRpZvlf@rTk+R*sLyF^? zZgSD}YHC++(ZqU4R=4EE(Zg103;mEHjVkPIEoIR9@=efk@MnK@`ho}Kk9G#O@tVa| zBEW5wlUcK=j*cxSOwLl~TA=e6w_iEAyiAt>4(NmCWGlW!uk*zh#vhm%vO9{QWa2J)ktqAUsew1kDXKaX5K#|NwU3ctUl?ZJjJmbNt0K@OC zuXkT}Q!L%uO`lKux|+t=#P8;+Ok5gSVJNHp%WPp&K4Ycr;QQZi__eVi9s_)P0psIf zZP`$ra!a)d{Z(w^#1=z2+}06)At_p zp*%A0qa<(bGM}6#VN}KbgkJ|PqWC!u;=WKRjCFkio7pye7NvSE!%a)#PL&z6LzU*~ zLzQ}MrZyh0cY0Hq zoiYDNvfmkSQdwdzhwtSs3B3C9?2bq6on#KBjx>$eZKmn=HPP77(8721(n|7Mf8!GK zP@avuskNpRE=|^|7yQ}`D<8w@EbFY_%c-7Vlb-Zq1Nn~h*>g}oFHZ`5z2CUBHtj1| z*uB;#^yQfTLpqd zQ}Z?LEYQx|e{F(V0B`)cKs{Fo!J>QU*WzWU{s#3oXt2?FQR8Q~Vc=K~o zkD{zY4gIo!;B`yW4cR7^c&CaU?H|nAE^7=7I0xy&Z8)THlvzRS>v)0m{iZa5kn#+J zaunkww+nRuI}I1zN=*Jw0gjv*)LKzIYSv@UAG`JYIZYGTazgZnz}JlpTMglO)VRcP zf_&X(f?l83eGaJxo*FmmE*#R$Q{#Au)l!WpGQE6uV{a8SmM0WB_c%I4ahFy@{ zYnX^r4rCr#1XNnshb;b7W};MtkSc#d%H{6h9w^JL!Qw)#Pqx-$S6T{AJKWx7JQcoQV1 z3-)C6faI0*00Q9my5^+YVEY?_Z8UFMa7p1+j?Z#xl`vAFZ;ARl#BrvMv3Jd=kuiqau^{R8>Zp2gqcp+)3k^O^Y{Nmhzb9snP}Mp zW#f_PX?OcEmlyOrC=DsY*iisqM5x9keyDlpkUb&Uj@V`<)$jXlbk=1HQ5#kJpz00t zHEAZ|ovTnDEz6Yin+c`2O0;ONUpacUNR@ZA%#hXVVcb+Ds0+$` z5#!vW^1rT0xv$M5(!T>@C_^Z_G<4n5-B!*LPk|Kdoc`9+X_U~`b%d5HqY!aH$AEc8 z8;t9F$bC7ls@g~L{!GLMc5S~C-mVpKmoZv!uiskqX`C-_!ytljC@W^I-Vcy?70E~f z7u-~>Y=!nQB3#nrfzAhD8QC_6nZjzO^m#?9ZFuJeE!d1jBO$9_ssGTJwf$c49>zkU z8OPqGoMjGYS83m4U<^yM?o9NDa(U0#*9g!3;2kn;;I-c8a?yPtl_A{@U2*e(bm5i= zU$ktfy$hTAAF}TLp4-=tT$oRj5cx0z*13JG$-;o#PqvWqS@7?Iq|>nT{@4b-$3Wbz zUqe~4YRFe>d~mB3w*8D7peu9t_$CaM-Kk8l*bfdI1M86czka(hIsUY*c6q?99sk*G z*gT|<4F{1?bIBCzHLwgK zv}-QR-d*P%JDLT0soq4L;M7YZhk3WCN-HSxx>kc}3_DVgvyl1w3SfJIKj1_(SK$XS zL=VS4sbHc3H6uSF4hWetbL_%c`8xvIw)H`%9=D^dxDnXmB`Q(7?NM{wUp|bmroe(PScd=37l|2$;50@f&0lW|&gRRO27eFGZh0_0M zlYdz70s6q6+tGKgp8`oe8bx(mfEU?H9Dnkoc#A8c*5G2E1*;)avd$SOW0RNU8P=Jz z37p+u00gx11UxX0;$3PtxpM8@1+qaAty{o@W?v%;jl$5MkGr*-RGAbq!OU=Wn25N8 z$h9H~Cdvp9GJqr zW=pSohb!*V2ynI}lFxP>Jhz39xu{o%xcQ0?h?x^B{TF0P?^$F5T(V3nrST=@CXN%1wAHn{$oHYSWmzb z`N{*Ccv}(uB7GW4UDn$SE<6D;$_N$?JMPOLa=;9UYb3bW2}$~o5;Y?eBKB~a^gXM3 z?4ehbW0^9KPyaS(GYQ7Atyw*MO2VB&Eq}B?H1r|%H7MQs zo0~`vM<~f-TA6T_3-Z-tH%4DDlrO$asl@OpyI3&}yv5!fJ@_sF?KIA={P%C}8^T8< ztmLvf`j`lrJXfJT10i1+dq3-HUE|F+l}K@a4`Hncr{0u+vC$`!I}H!Ii0O4H2EBG= z`SkCi(c3D7jA)32RtJ-t^R*ZspnZSseTQm=0B-XK8j$;W3#8CJJ9>UoFn9GjSV;UY zgd+haM?@6Q@$^3|;x-iUX7^nB@n)1N0fr0@l>*I3Fhev6nB@Aih|BIQx>KkT^Lqp_ z(O(AoRI(pUf2e8Ryh}|evF&Hx0E?}-YuuMtUQZc7D0`hjCR~n)9nOijkHi!8<`V$u zP+lCSo#3X_fpMn=%e@!@Nfm#Gq?LS|+!;WwZO#mzCE`Sq?^SP^2@*sKELow*OB~4e^GhS6e2}VvcFnp~M zg>Pd9DN>Bu5&_y*p+be+(|4!X5NB&;kBwpgMk zR%oZWqWE$Th+vvF+*%H~I%@ zwljUG4$N#j+6~)A?YBiIwssI$dO;8T*QsNr_98z9L%P;$LDMux(a>-3SUzP3s#tSp zW4Y9J-adJ#a9i{0HOLc@?}#a0_DenM+*5FFHYEihCeA_s?HP=TlIUWKaxC_Dzaa`VsE`Ar@)sin&f(chM(RuQg zN!K%UVy2^RZ6`wEq~ zfg!M1t)i664Icdmzk~S-^T=72OP$%5C9_uj!yX;Fu&{;(QeN=73$wX(AbZD;Ii21^}~jjrAZmhYH=3sXac%WDn%iB zB$H#ry1n^0GX0tI^Q?&rTi=)}!JY{r3p$}u9l|4j7~I(WH0A+FtD}7&+`bl(SQ$cN zQeBnaLO&DzsA!ogW?o10Vgcd^?~oR87J<2AW;BDZ`w-pkh~r$|pL#7Ql!FX)eqc67 zo;WVElVZ|p>&Na7A!JscfU?;lnfeE;^+OII0q`)s#6e{Y1r163oj#uv7jy@G<0Us+ zyVs9SH;a&kfFbe1xE)}ujAxfw@Mrrg0?pMGc}gWaJFsfMU0&2tJc)x7+T9&Qc1ufD zrdn;1jeh4L(k_SvUjNc9O6a%Mf7b+!p0rza{ zpHdz?qmnuLPe8Ao)Nt@>UDpP@Yf*tkY%(MH7_lV&&}IR=GLhV6JT%e*gByqClJ zfGM})I|@vDV7If+K*BvC6mZE^t#hRLru(M(XHA{b@4TYUQZ6TnP!4-`Na`=&`Ce^m zc$XHdKTgs}9E~;s|B`zj9ilq|uvKfMNj?d+Q2AOMLX#D&Aze?-(ceR`On!vS%M1ga z&mYpf|90!3sd+kjMKu!laRI!}fmHNU>$;`20-yqralt&n4bg9jrExJ_vUOmzwNx;_ zEW00Wf#fK(O&t2+G=*M2$FM&G%R9nhbO{km-fleGo$CuP1R&?v&VBwGDIYx#*uJ^3 z@L;0rcVWyo190_)y-kNk3Ukl=?}>}S&;N7vf3KYVKet^hoV)x^0$o(anTVp4x3;98 z$R0y*F>sQ!(HTHcMssID|340Wq`3==W2XN9ZTdgn;QafmpR<7`FY&%;Fdg9Z+l)xv zuN2h@@x?*)%p{S)%3rYM^_AbnF>jwi&+jWAwQel@zYaP_G-F!C3Qzyk7m9i!t_Enk z*{-km*e5$}#Arw(XjyhN>UcUoP{3DN4nLN#Ss)AxBwWJk9kzo!yCx@m`D`c<`r;kU zpwDVYUd(#{`D{pz=s#-rOLmsEam2%PD~wJTEb*U<7<^MZvNLXqR%t$DF(8|~Zj_gB ziE9>OAFZ~%o&#Q6Yh&6Ri*7Cm$lned`(l`R*4?DcK6i5tYDH~Bh_%NcTxi(cP0qXI zTG-nrilMmkGQ{r)w??L%5*$1;;0zL9PAfk_g^M`uKn8&`v zq#7GEYD~(|&Y*T$urkd&B!HgC0cuJJ?rOLEB6(PndC`HS#3 zEp+P`{%4K2oc%i*1=EoI{sCZ7eIyg-Z7%m)dsfwH9SH*ii?rs&lkW(?&uh~j7Z9la z9kAEZYyIRz8+zeh;6?0DJC~+7=KMDPr7sJZE0zKB+-Mj8k&n{ z`!qv2PiZLm_S*?Q+_*I=yVsXQ@SIRHTq$A0jJeN1Q?lTgd{nMBr@!ARHRCdjuH%WC}TpC)<7si_|3@vdrfp9TO z8sZl<%9f3rs#Lm>y#I)=hng4U>Y-!&ZR|sr>VbZ@x9pA$pPY5}O!?%)&kE8ly~{yl z{hKL^vd5hoCuA{Zh|cXjn)mr3#N3a7uJvXNHY7h)Mg?u$N0Q!4Ad5#jJMxW<0r$k! z3A?3W4XZVhzRp23Y9oROhc^(G*`RU@J+%En|GKNP5K8qsGIM}@Hd}X*(+`_Ynl-$! z3E&mN#|wqdN_CNNwrIhnmkOe_+OhkEq=Ruh_Y;#} zWFzw6G2}Mt0?fbe-wL!ig~@$6B|b(rj>TiR`fpou@(zt`svLqnrcRiWeA_OxW z=Z)lWnf`N(2KM1q_zvMu8}bVE*s7|t@+l16K1I*2TiWC)=nb{6*-1#KB;te3T#U6L zwx#v?Rl%*p!kdR7ESt40GDbTFwftvs0;(jqrhQ0n8|_YyuJwzt+~Bm_U#KMP4`J`| znp4P-r5Iy5ido!IhX|@FY}HfC#%(gZ%jl31nz0q-bGX8%*)owmWbOM{&Nka|5Fahp zn~Hu|Zt2&vgCY(5r0nW1Vkcs)Q|oYHPR82R2IW10n2+KI9=F66bay2@ltjG6LjIJy*Oz(KcBIkt5a&jr#`JX5QBo8t< zPDQiM=m%WX&cFBGLbD89(=OhCWL%scAy_dOX?l=}wi9KmuuMRD#9~ zkE`zzHuX6l?^4PR`%#Y7V}lv-O=P~WFV2<*PR3{UY_-g?-k~*wiW#HbTGNmsy2XpLlOPmDeSf*OHg=O zh?@SYYAmC29G{a2|4;Miy0A5BK;)S*(^;yF>mT^qg*s3~nOZ!<4@zUiwV5Ai zRkE|K{v8(#LnyZ`(=M{ZE?w>cyuhpiL+&CwwGR)`bP*#(a+zl5RO11_G{LJ&MTfh@ z)J-*xaYwTy4!o(3NzSv`?$6++4|=7_G28<{Nqy2Z4}HESuT2BluuB3aTs?}ZbXV`h zVIhqF9gsxw*EDpk?R|3gNH`>zOS4atk5ie*h=Wa-fM=9g_b{>}KuY#1L{r&^DDqB) zK4gyM6MIDM8wV#cTf%e)A=SGz__=<|AlEtD!nI0YW$V9elMPs3G-+2iFhfm_Dl@ z>_KvD7!H6MeWcp7f8gjKqVqd2*R9Ea8joO5x$!L+me?`ies?D3T9y)1*={(&WFH7} z{YTTLEGYsIcbU8dp1OWaZA%3Uz1D)AM=Te*7VnU{Dqz~KPbIYVCSX3M17N==&@Tj8 zWNd5jH_wm`_V5WYsP>V$Dz(5ERJy$a)Qf_bc^*Xvj!6wgc)?`af77saWrx!|3h4Zz zbEi|WLQ)$T%H-`per5jevO@PMhW3(b$@VwCA3(Z!Sbfj9X4n}gF;e3T$U1Nzp!}%0 z(`|)(zy65E+n<_mG^H7;sko57*37hVLfp=jXqxcJms3*5P1+-jw8UrXyI=vJZXVvj zy=O%&vu)ceO}d$E4A!f?1%O?F;Kfmeu%v_Vi21?oU*m`vtqOaJ@|EU_@y>~oq>Y#JzN zZf9H;=2VF;`UN+-zLh|rs!Eg9JcLP0w(3|W)A3xofvP+AXDMOJ zR=ysFFRn{O_B^jP7OHjbtzCQ({H_R|(We%lmlcu**v5t)gf)1$uN(Kfsco2FtDMRb z#P{%SCKRt8Uca4$^2Qxtbsij0d>^kd2y~%HF3y&w6bgPw`W=O-J)}2Xsd-6*t+I#B z$r5*U1)iDTSlpuIh+hF7B@jkBCg#63bfblnuB$kO3jUY&D3E)MHKMHfA9R@DC zos{q9qDJ!iDEWQiydd0Jz5l6X?QO5R@y#RZNuOIdvE`M zndn%s z-PWVl!l$ivlCzZXR-E%u*TvifTfXcj%i&OY*8e_g8_p!O?;U2lSsEMiou~3Q8V^rh zR}&rZDr&d;8!u*#S~dKmzBFKK5L}?f7v>~5k#J~Wv>qQuyJyfphwtn=6270X_0G-s zFSAQwmAQ=pz82+WIWc@!trU5{n&KR#?RlqW{%6@xci6#N)53B5d-F;W3lF?g@fZ9I z>rdlYk8&5e-DGV(C&5s~!+5=J{1=ro)~9}6paH)~7SE`VS$5PJt5sly`Gb$PHDz(a zqQM)iJY#q+nLc1E)@%xYU-{H@H zJCWagZ?&71GCp@kH{J}_MTb|@up>>*(9E6Srt`s^_bb{LXCBCzscBc zBYx1fwB}r3lP`4jrqL4i$F&kGeTjdyRaU)QY(<#^zN~R`!<0QwgzKkq?($w?`dXlAb$Pg{ zC`8@SeZe?udDGadZzq=CJeT0!GCCanY2f_&UjrOKUHW$1x9|RT4P5C(6;0tBiXO&t zc`4!>vCz?QmMso-((R_I899!?rH}E!rbWj_2|Fca!X@Ps2JZt-SznI~va$~zeHAHA z+=THvpOEg}fKuzbG~INEx<-PK!A&n=hQ}vY<+FVS9&04}0lfUx-N(ak{06}NaoKbT zcgYVxWyd-KMeMXQHXiID$$h17y#ajb|F zG`qo$U#+S?YDc8puXz?`&3vw5F)Q9QrNooS)!My}H0!8&xze06CmP8c*S>HY&lNn^ zYVT2q+$FSnNo3pm!RsZkxz%v=ZP8QSe+kT)E1+<+^gh|?vNCVX zG$cFvU+k|qu=KKuNU2-Co_G}ywA$ENzyLNYO#L}>IRm^o|- zl=SMNb?S)}^-^5S5OZkPq$y8zpZ}{5JJQPcYEl+b1;M!(dCK3Wx0Nb3m5JY{Mi19gt)KR>W9`af+#k8|2@fvuUcM&Y>1+sIbs6f8Ob;4Mq!+g` z=Y{roK1MVW2}j`J^~eRmp)SKu?ix^3_^6V{LQIN2VR|G+D=2R{^25W7IoGE z7j6tgCU#ZLCplS4@yhw4TwJ3n^;sinTo(WG-YtWPnQ_9*SN(Yhe*)SQ-Z#WIJD6zPZ%|_h9~L6a3(n8hsVi zA#U&?4>f-S6&MKG zwCY!NL@KfjgEDXL@XAfs;8>zC#jYwTPeP$HN?VX+ulE`QueS-?&>S7^@2+uv9=!P@gX!;d-rTfXPPZ&nw2a2 zh`}Ldj%r{N);ZO6uS^~q1!;&0dwq;{@qs37xe|fh76Q7rOLgzE!f7XQ*B9N9Fsz@2 zQMcVJGA&ub4AS9Uj|#XGuf>5x_#-N@hUB&xzhJkp-pyWmo0ce4?4_^v* zAki>D+=a#i?6Eoo8vG%=a#5TertWA=6WDW1x?nOu*@x)bZN zA#lS2Gxv^$(>`yC)<0rS8Q4zl6yxUvDA(tfYuwcgl34~8t!Xq5`0(CC@MKKv1BB+- za{}IjxmO!_-TJu1LnIAP`_=oUB@=I=|8|XOLGpV(QSOMnWcOoC1^>#-BI`ce1nTVQ zEMFCGgB2t^hq&|qrD5`CFS|I>RH;o$`Aw+I90~CXw^j{PynQE*FsY974+Sh2sZihZ zBAV*D!6{^k`to9Rk(U2T(M_Rh>yCu3)8FXKB9M>R+P`ntRA|@#F?0=(b1%<&Y*|wrr zJOP_uz1Jl+wWpCVvoSYyc&-t=OJZb!+L&xWTwRXKYulJh#1BV{qt0R&8riQ-*8^|k`)EI%0axVMWh6|%c2{OK0}wvA zO)-hA?h6j-A_)tv(vZ$lPX}BPK9Yv&mH(2gra$4uZe?8t%qY7DxO!E6+v6EIYx{^d zywABy=;mhU{P*WEX+;qP%gJ`!R@eE?{!8(il&cv~xnJHe^u{5np&U_glmEg=2QSz! z2I4r5M#NrS{s0V$Z>PGw2P?71la1c*1Kh@HI;Bw&DKrs$?` zjoT{I2~hXVPV{yI6U2|#hxdt|L`Ly8P+ZuHG%bRxzrCcPiRAIYZb77}e>5_M?{>xH zDaUQdwcpcq(Lv6*r$7YJ-@z&IVAbP>&*|mspd9I7-WyHqDbK58HskxrJ|9kq8(QL4 zquNM}-}hFSo6-_*`J&A_=TJq-c<`xam-rH9a9ljaG8Mda#hp(;$QPsg0pa8s4fYTT zTVOZ^)PUDPW}k+@LN7v?-X#G44BgParcefDygCfLb$UM~cku-kDHn+mS<-mz!E^mR zkC#9$kXa~dL3A_*dUs0m6B*-$pixGiu0qYt= zXk>iGiu-^M&;iwf50dl7rxs|E#3V~h%47aaO(0r4_JNO!cS(=-=E!~6MjS`7%6ia$ z4unSu;3A9)A*)!8h_>zD@w-Va6XwZiUe$myo_D5hfZY>A0bGkWU89JNhc`QP!E+RNyY(l@dQy$*)5_c)&CFL-{u zj(g^ZIqtdc`#i7n{eHcpUH*e-LoWoXi%D736F?uaB%PYBD*RmvvC7y0Umbv@t_;CV z63`=VZCTI(&jXrV&Ne-Gf>XwZ44co?a#{J(-q5dMC|)eWrX(V&{9a@e6UudaK9aGn zIjNgQIX)A6>6jgV#7{dwV|T3y>s6pRXK>H_JC^i&P-*6sZRYBK7yJKv>Hl8&f8Y7L zJV9iR^gtlW@vXD*0@CnwSPx{5xQ>mWY2iiwe=j|jzkj5>dN@$M=Zq#|rqPV`$IuJM8W;Qu_FqW$xIScA(2# zDwLR}b#%ObDWIk;h{DP)vNZfv&`0Qs3fZvHIFOz#F>S&_5iWx#z8&z~Iv{BY)-&|2 zznA_tDg{f+yVe2;Wb>#;c!*jUlVr8$SGxlj9r)==OaL(U$2T{j>N* z#S#C!U{vJoP-uF4Om}=HyczR7y@KIDGVu)$N;3nPIDXNrJGNT7Rd|p|TB- zZ6 z=5Xl~>-0>SCJ-zIS)3BiMH>Tqn0Df%-+Hu;VRNDX5!AUHZ|#bbSDNvu zx)|;y4NAVZ^Flxl+*j*XzS6`D?(SoR&5$e7M#i}ttf^qbq_K{($AIL;5JH04kbZf4 zx*mBJ^xQ5yddawH&E;0W|RQ!)#U6;JHk3#^*5{bZq;NZ@;N7sJ5 z#$Dzz#ZtOL_Mxs5ARgf$T(F*xHoWCZtf(6MAt){kq?e|fsNYB2+@6Izn^53zXr;N# zK@(P)^Mdg`T*PJBcw1L_6ZI|8g+)|~3^E`mlqNK0L%XyE?)wcZl-6b3PP8rQQ4tYv z!vn&Z%aESr1F&Hn5HzfX-+g`j+cKjbLo^d%BNw6!(>Y!I4)ks%>QDrR6zD!k9;GNs z#VZ51PMfmi_{ftoRhx%-2uutzLzkjT>PRFOIP5rCuimN28G++oki4DIthkM8f167}vb$642&suzl1J(S- z9zNeVq&P-nfAMT})-u&15#p}W4 zHVaL8X$-tOWfbh5RZU4K6sEx^kfaN|Fkdc5;74*L#F6Cx(pWpS+11q)kWW$XgX`Cx z04y^)zy(?{#F`!Rx;YgTqfG;ovEXEQc?at8WS9Qp-A-$i{um1*0mx@{6BFR!biaEF z+46jl)1v1P);khP>l~J~$xwm0OYg=>E5D&!ccv9vwB&r&aSG?+StfXOb+iYh2ZD;9 z*)51X7p6&)$xq$~&|+i`h?l)k_{hhI1*+QlS?aulp~bimR=EX%A901*#P37?E&~24 z9VF|`=-2aZ@wU|*4>T~9&+hIyR_uiZE6ZiUD*&l-jH?iEmL-B#K-vbhk;OTQs7$pX z+v?kSFeTa{miF+F{$3nTb6pTy2Z9^W`f~ zGhUFNMB^=sA*qv_B>SjoYy()AlCsw7_Yonk7T80Jqs!9MFTfHj8^|ia14zxuAr`si z?c2}~-w-`s*|3tuL7Z~bG-2>Psyom$r2NuIm9U37Rk%d{2*fEOkOr@&=v^0L%O?R9 z=^+Glw|cx=y0CYcwQLAH6UKUJxZNUwGuq7(=rI{0Vn)%=M;P*Uj<{ra?edyq%7O049kd+vVbX4d089qcAq5tmu} ztPCH9cf6m4t?7}*x>An5vxyAAM1q-eH9@@jVEbTFm;+y#DaXIo1Yfq+(ilkTe{SDc)x&hy;0wRf4;_OXve#N#snJV|^G94px@Ma23no`U;=<^f zwN3QpoS%^6jC;j`m%Vh&(7%!k9PAd$Tw|0h`}p-{f+Ze~Dpa%bVp^upm03q~^qDFJ zM?-!5!z5)@9K6$C9w5+VULumPDIhy%P$L663{o?gP=vvbj+sB>7SG*cTADtZUgM}sXxY2Pa}Pz zD-L^vx~1Hng;d$;%|YZ9g|<-C~h}ewo>0DjR42 zS_yf(qyT9(zCehPT%D3pZ!V==-2WAhEVN^ zNm9OdV+WsjdYp6IO&az``+mA`wZu~{Q z1ihw{EiJ#KwSKEEjMq*o4T*i?{vmDE;NJAFJoI(LSdaANpE_&^U9-YF*=Fs%%%9h_~y;eB6 zUH5>mV9Th2Po4{1irYsdPhTw>TDNnjr&qcop^ zey%qvHMgOk@y8?2-DV`2HzbxPd~p3H(^TNgHAG zHRNv6<jvGdtgH~D|(^6(0uXxnCnV%}N!dh(c-?3q; z2dLX8*?fyg5>;O<_R<1vdZmbr(LOn^(^qKmyIO+5V^VAqR!Wdm>#kmJG}Awg?ySz+ zxZz}$j~=_QEg_1IB@qM33xaQW;>;^j;Mvwf`PL8{w|Qgl!WAn1?mTtM;)5~g&3R)l zHd7f)DVl0oK~)`GX8$mLV00xSn&-uL^pNBRIw|Pe+v~M_`|N}RZc|#Iq&PInJa=Ad zG~Q}*gwCIHQ}^SbiBMqb*^2Z~-%5^&4Wl;~LyMkE?x%Jy=t?$Q6(=}aXX4gP^9MRx zNC93iS-nnJ%i43J)hkNRj&{3NhVUi-&c_hb3CNl)>8U{i68@R@Nd ze~@%>;hZFaTv^vnM7+P{+S3w3!wbXXZ}foeY^R7{W5G2k!XSV31ud@ch+XW`6j&-yU(h%wLBtlgo~q%3 zH3p_OI&ah}YyQzI_z1C3y+=DM3zy)r0x%wXL{Zlx(f1vY740AJ(_t{k@P$1ne*m~( zyuV0>2PtIk@=bouTRPHr923n&MZ&L4V$I(>Ec8^X;T=`C>E=riCEI>n zk*P54g?j`2_HQ+U*+o>i$qcrs%S~*NLs+132r1gW{D{X?OoW5cOlQj@XJ+<53|Z!-;|4gHa=t_O{HSKUsf32YY@K0|Z;y`ym~#`+?VtRtpH z<^0=X&UU4eOR|d^mcuY^x7;u)im0HCf72C|Sv|X&rCZptVKgkNbG~X31@?datYSwZ zSfesMf!|aGbIhukiWbaBHl28~4rR8Qr|?wKKgd~)w-eE+4;ek( z6TjFxX`jFSNE(o;$Wn1$!|+$RU%#eZS~@^YY#2?u-d1TNdiEJ1MCjuhW+>SM5=&0& z{&J_eH4@reV&@}XCsq;UT)NcLbW>2Lp*`0?gxJD#mHyXW$-&}dD)6XfFfA#1@Z|ob z@pwB|mSt0eXSL=Q`VNA8#-=jS2fQJc6(%N@_mp_+n03^Hn87Et(}*8?5+*fNLe~s@ z(YO+%>U7VrZ-=+3S0`}0*BJLb)MM62Cu=3yG%?9mY~bKh?@2AQ<1G8TlzQ_F#M*s< zzGtBCyGbn+)7kk(rH@Zus)&2(WEp*;zEXURmiaWDmLR2TX5e$#y$EGg3tIl@(tgBs z$1l6#v^$DuaIK;-b58eyjou@EowbuX`5$*aTD+J~+TT17>x>>8m5aXlv6`Ci6*G8Y z=50YPCc53s?^G8@;%*`D)>-cB4q0h;4qxB(+3#O*e(?IAlqyy?3A}D>UAJ+v;*(DP z=_cHzVx7QD-SZn|jppsu&$@+Uiu{$PuQV5#PJF8yoYCHs=>PYUgEx;hT!Rvf_#(A< zVO#&)8vDtM+NG*HR1?$QG?%PO&>u7O%jyG(;>k6MZ{j+xN|8mkdpCLOG_AW+I;1dU z-IK@zQ=f5T@y;XGfkm{-MN2^##?UefU zM?PXhnvLeGDUG-*uV1=bis>$HFY~kZg%%ZP2TM8>9mUt=^8fXnPFpIKI!D|qY@1B; zBju%k+u;qii=K9mP)N~ zRq9)*KeNeN=M4$6Qj%MCW@kfGiAEZriPg#IlX;WL_L-lh_8epIDJ7;0qfAkR(7;VTK}T!kEl{ZaG+X-1VdL_G=^nO9hx6%_yh1NmNiSW47caURSw45~ zNpKbM|0^4y_6*ybC8&wCw77ecRqwJ2#Z6D(i#o7X7nCtNO@%NSOxR2ZB_yqQU~+ zIssWa{;*u(d}z35Ui&Z3VF$Le*|13L2hx41eXL}0qf1(+2L0Lk%iBi$+^|8-Y~ZZT zHzGE%mci~JgbCwA;lBsB^|Am$`Ky2WHizRjufGFX=*#K%3s1|uQmS@&|40j4b_>Qz zjt`)Z_1b!$S}%0@FQ*Ydqa6#H`O`obv_)ZTa&+0G=WoX~GY;ubt07e4JdX1TGRP$k zX1nD9eT>rzc+dm+@y?ceMmd%)!>5ave0}F$h|n$s5)C@>8#cR$a%aQ>QUPKtb8vl5 z1+`{>;!xKvz07y2l9{Ln^+cR2vw(S$dSI9897{H*NE+`CSt9*@xUk)tg+{_fT=+uu zpvPd}akzK01}Y_MKI~0W7iuA?89&1ySIR|b?FtgYA7Riil<2Jm_&6jm-zyt% zz4o5CR9XVFFoKB42(ZeTGQyDlulV7sPWmRrsH*o){*mvI-^2}~{d z$(5ykJ;pi2IoWc!`?U~-61$g>8M)7S$eO>R)#Fza?bw>kVBXpv$r9}mF40{#^DE{b zZNKtq7n!w~BBbfmGRn^D63#zDu&T_1=Asp@x>=3|(xNon#AL-468-0a?xeqM)3j6| zL}3OTkBrKfsDWd;*P%@X%h$&HIcuW|*#6NB4Z!<9E^Ax_~B69E8UiEg3sq|x; zkMjrTg*_YEZ?Bt{8diq5m+EF^?DiOzHBK8y{FwGB8I>|Kei?4H7fq^Y;K%3g6!vDK zEh6`&;<9YA60@3l5|rLz8%(=U)0U2=T$TryP7mI***pFhpm+W*_n!+%&Wk;|@u%z; zy#;px&QqKJ8m*#~sxdAmB6O2o*%Dq}m_v;d?He|Xo-1w7CSdYU)Vkq?0%)}t z;_owv%QlE16ljS^aG~6-1BjyW5TA2HM1U;aM@$siAag2B6FeaBuECAMg~cg_fCQw5 zTq;~fN;h$JGR~|A&Zk|AU=7~{i#r}+Z`;B!X5&gdYQ1V)#T2q;w!Z8( z{w=7n3oh}Eo9Uf(4sbaQS2;l3d0sHa@{tEVj9Jo)KrCa!=6B*6ri2o$#$@ZR2DY{O zErHd`AfDbkah{W!`$?{&xXc&R&Vt!}h@aNu zG=>?^4Z&Gb)G+EpchVEpw;a!N-%teJ*EHhUB$1~QE+U_>V)QFjD zu*(uJJ4H9K*}+|Vy@m8Q4*g=xW8~B(F_5++U9-sL)AxmTM7X^w5aRhYo!Gt*S$`{O8#b4rOcF z;=FO96LTVAb`*AmFKISkF{_^*Aif=yXX zm<~&QB*ktIM{V8@(3&~`^}AD*REIY|9r~gxzW2vmL2Jf5hnq>Niw^ zioP~?xta54G3B@bT+AfyEmvbe!tFwoZ!yjiS@f}nu%zu_Q$<-*J7Wfe>1k04(&)!i75 z4kjA(fE3!>GMTGCbpAP2eo2pS4tCwi61d^B1o0=X!#rIz=w(Xw3*LL=y46i`d&(Cu z@=60GPQk{Gck~B9+v*_teQL*nD4gL0M?k~}`Bf+z(Q7*Z!`6L+lw%!MPv4#@;*)}B zRz!<@T@5qp`5=ezbZ~{)F?p>oTw~jm9Ch)k8hCZXdkn!uz{%+lGUJc3NsyBsf2$<@ z>F24!%&I|qT&b*oL1Ztc? zkX(p|q$@GwvSZ?tU0g(H2YFZm<<1d8d|3xm`rL>iCXr7phSB0uVlj&Cr{ESoYK*#MC$_c@NKf_@OPT+5 zD~}r?auU3%F!Aynz~pK<#D~3>9JJ>k%KHOe<{e8@Q?Xx27~XktPMBbVg~V|9?ebZzfQ=sV=0I70kk zuLkxa8!#t5jU_Yhh`4J6%~kQ<-SWyDVS%su3XK*?koBN>7KXlqcj^Z1`B!ARg#xCf z=Iwns`$}qA>zDrbK<(iBx`RSs%bhY5DA`-Hoa6~byyw55xt^x<#n{ek4^=?+Pr5(d`YnisgT9c^JG@^C>ayE|W6+c*w4jZ#(sop_3#N`PfG)or*vQh+%BnLpv7gR2zK(8F4xVy^2+kQWoJDq14iG>hHCP^p@9FA&2Gj6 z<|zsx(2o$mwp&EOBn`ZWNGrwH+R0nPzir^UCgX;iefZ;RV?vqo>_Eui5`ia3UO(co zW9C{LX~68>0mHv*b97DFb?ZKc1O1&pF=y=Xa~uUKk0l|DAzz8-QROI6C3%~hH>bK_ z^S9nS-XcBE-e$JT2kjg!!EGgp8Z`DCXxTXTy*doZK`{Hm5#_v3kp`2xXr%m#;?2Cr zGL4fLl$$9TitkXbQa?h@){f%pqsGV!9kPtGGH{hkZDiIqb$rlG1fF{tcyzSSClWz# zX}w1?{Brj+p)^ADUnwNrV~j;OSDoT+45!>H#1_3mVU!O^Ny6~#87;2&xv@08P1qP6 z*J;uV4p*OU%aoPxPIOo(e5+)xG8QLx4Q2xh*2@nPVhX{Cs;XJA;kgSyTg5lYb#D_{ zcgs$)^o?;GR688B_|R@)$w-$XVj-JSQ?8IQ2RC$j2+RVQzd8#4E@90yt9a)#GUJ;}$w$ohY{4Cz%mP2WBs?G1`D*oVU3{FxC~ zilPKAVc*DJ^)r(H|VT>bzI%5P7A8aH*#8hu#o+VwS^fh$<5q}|L*@tNbwHqBs#TICRRxD-#bImt%Ph*&L8#1 z0&o?+3q1Bqx5LX%SypQ%W(=0Uxg;4Xnme#Y|2O4%mq``T&W1fP8YkJE(`3kc$mu_H zfO+l!*FlHZmd^LbaotCDjpSVU0p*2F1Q#I3*_VJ!A=$#v^uxAla#2Q=KPW6l8QY|C00y*-UfT!M##mb<{rIlnMF1qrusBi zv_Q(Y%||#G^h400Iwcj=IN~MpRsY_+BR&18RzF!i-XO<(oUiiS+?k^IXIbh=JUV_~d zeSbha)NLAgiEww|W}A_|BUUO}jO|UD-gBX^BntD1qNXmF9#;n8_aKwka+4vpGWUYN z6wNv$W;1Z<|Dr*+Hpq3SJD{c{z@IBZZ1_33$$6jrsOG@7e}D|3a*VQ+TtDV936*6d zx43zv&bQ)EuCde<+6Z{=2vKUCA2hJr2$cjWiJ0i`5xeTXklq_>U`onjxyX&dU%04i zDB~T(;XP7QC-kwrY)H=hZ>nMf&^a{=7F4XKJqi>C;p)f&XQunhNn3G$b-oY>Lq^W% zH<^ks-0#ZQO&)@66Upuwzd>nPtz0*rMTnlD+62(1kSrU@Q`rK2;1JVqBpJ;=xEd*h z^ra2Re`BOA+D%uzF~3o8Lf0!=^%KtSuSH~mkUnxyqZ~~zMr?qhyILGBCRK2cE~6to z;?g$4sfqtlh61(xit`;JZFOuCmzn?gN6fe~p(D{UcO+gu3QJuiSnx+v1c{LJ2U{)$ z+%R#h93E4A3^)w6Fyv}s27vG+$8*G2#{(XB-VGY%CFBpH7*@LSmXWao7F2p`H(eG> z=Y?Ui|SqaHnG(xB0U~1+WLJBw2}mQ>NH4VQaYZe6r{rk zi~kTz?RVk`01VSN1$j`d!*x39*LbE`q+|C=53G7nh~{AoTrim=F*jnnBJ!q*Ht>H0 zrRm9lp~nX>M|ph!4~GMx{ti&56v-LRl}|SLGyr~{^^4`qLDb>nDOZ5o;1|*JK`RLU zS{=VgQwZm9fYl7$5(&RPPELFk%N4&!=*c;v4YqRzFlOVnRo^i}yuorqWP*zWje8WP zRS|>W)A78UtRc6iX(cJ#eH*YZ;XKWW8TkQdyKI9CKYF>24+qli!cqJPt3N;cmHJ{Q zuah9cC-a~RyPHH46K$;eb50C3127{mLGWDl^vYN2F8MO{j5@7%*HTUQaDoG&qEkdW zZ{=~QQgkfMwG@)M{E_^s<14htLpHv*_6W+YsK)iM`lzoZnlrI38ETpwO6xY$VQXG% z_nttc2=l`dddGVe7dQMHzO!=!+K7G#-efG!{0rw1*o^({E#y+Sb{Y5A2+Lr~~KNV!zKr6u<1ySUxs`tqE?#Q=!5x4T=aQ{zX{(xC)TX z!W4>x{EEwc1t2oU;Nzb22tnW@lIPDe*wUt(2uE+A=%+VP`8HyXn2^AHxAb7Fr;c6b zriDmi4a*N3+v3k)I;4ppTL#Z;{)P~k+oBdJCIUW=oe(H%biOeITJ*RYlewvbHQg^A z&RDL12s}=LDrBz_;P;zAS+4T>hTAlWTHxO|Teb_SFu#@=ust(U!`Q~~w2XXVQ)Ir} z)iAw6?abwr9qgg~o?p-&ZXG#k#vpI^go}D@lFb4UEQU_2o72b6?Z?3bs3*M;9jErV zn|jddq2yTdLKb7e$)V$tmUgA2kWbe4P?<0EiNCOT~U=u zn9&FeXb;+8J5Q&+9uf!yof8^6o8b$L;$QARi`(N@_Lp^e) zL4Hj24!^$|m#Vu?b;x6&$k;hC5Go&{xlg>{$4SX;V<~taE;cs@IIZjv+=JTA`7Z%K zO2b`TcERr5`>lf3@|(~deYra8xVsg_%BXb91eEv2b>~J@v{>u8n|kkSH0X(#dkpW_ z5LcsPB9pN;5r@<9h8++>H4oZK;sNfJ21?@Fef@sIcer@VH17FY&Sc##8Lz!_dvG25 z*woiGav@l6eevJrBIqBME?z2)Mu=i?#XO z&X86%=zD@qzgw&&_HE_4GN+`5dkHSqH_RL>xy z<{mC;1m@ID#u&%CZJO+{yzJxbjxIrYXLpic_1ICGykSDwNw6Qez$)#-y~eGYi?@zW zRt!Qz;t@iN7hojojNHO|H2DZVh#YTQqy#m!Tq)cwBVm?b~$O#G`6w# z*Kt(mjP5%=mb|P?h>lek)RU#LH7KMH7qR;y z*(7_5$llOLG7b^KOO_$j<|-ljDSdG#1YtK>gyCA}!a+B!6SSEq9l0G6FLp`Q>X(e*=dg zCXhTAQ0{0=gv8Y`u-JGtz0zGI(bZPR1=2h@w*m|({(!X*mSO8X>MkZ4v7!kuwV*jI zY1<9bzPmgls(^D-R2`^ckEUpMk>gEwp~klG>9Bve>R{K2*)Xul+m8W9;tGWx^DOU` z_vzI!eqxtrekMuUHR09SgjXxOofbqBA%?(fa@)x$(d2&U#S8#8t6d4L5?jZr)_RGx zsH-s+*Bxl)YyTu}OFQGs#o=_jTyn0#2DU`A3I8-zjjq~*;-I!*RvGO9M>j1jPCG~N z+o)m0za3pF`Z0c_kZ868rTqdYtT!rhaJO=~iivo$$3dHVLa}Y@F!lKg2;1)gT(J4w z7>=jW7&p9dck)MJ$L0}fPRt-Xy!dJaDBsW?%UB{QcSoNbSKEZImP)```PyLq)Cj_( zF0Iw%SCErQO)iOCoOQi*a!gGCztX4fW%AO}BZ!MT6G*t@u#nJ+^OW4jT9BuR{JAi; zzw6K>ogLb>R{BY6M@;67-oA}UBKLCyZcY*DH*7;-;>dRB;z$#1RAxovq_*;)aCwr2 z0-qa`FX*TPWS`h4C^h>M)zh@F=yKb{UKbJUxgG?@7#PQJ1V z5ss*NY{R8mQByUAbg7$SPm7x9IJf*^?{{1a;TVAjO@xHMo2lPiLd3*tkPMOA$gga& zT>P_S+$)jz=1nl#Ur#-^8S^w1AfMkP2BkVI$VNC4E&)C#=A%V(V7C#8K*;Y%O5Gwr zJp|JJXfRa%JNkKicS-ly)Y4yImsosR3r$Ui{2{5M4?v)Ca&iJ5#FN$Z^iXOQ>f z%2b6D4IjhYdzF$C@WGbW#%)zqfE*$@@`gi#F z9ap2zKq=&Ia1~6zL&z}vx=v!k7FhB9Z{lPh#H?lwCb$wtuPs~G8=DZw#CsgKTR5_1 z{klpq8#`wCn+tJOXOj1r9n&dqVIA-Nve&A}dPwef7h(D+@SI(S%2b!OOl|85-OTT{CWN%Uq4Y@oH zKHaxPYwlIhPv3=@{DYoSZ<@T;&S}xT2+wpE@lN1?yKGzURdh#Bs;rZc_kmIHDB0z! z)@sHS%hruOm6f4by~wL>+{^d6@4=MCTTHP{j4slhBPDTUm#bObr)DR%ao4jZkJ9@yyUQq%{r zvU0*p$B0RUJ9qD#;`|ctEG=5+ve53}?e^vKOQiI2oN6ygwyg=XTm$`ldjo>GC~Gs) zI2AXmm1y|s?c}3pcRwp>IHD4}p>^gPdXHzcdCEe5LBr1@dz)YACPrL54Ll_3Q0m&! zZmjHxZi!T&JJ%sSKt{_A~P=PMxHyHEdfG%^Z zt<^n@e&rB?;GIDU%=~(ouJ>48rO0j}@UnySf7;R-c;q0-hGPB$IXeQ!>C^xwuaMDQ zrEu2U51y?jAA$Jm@dhw?{m8E@F*oN~ctn8fpVk2p%QG!q1U1EF4LHoxwLPCE8P2dao$M4O! zn+C!l15daHK8())1K#T~f6Q|0uR5so=Yp^P1$caJ9Qj=FI%p{2Ena#bYvoZ-K^!!H z(#w`(qkVvqYZ2bekS57}J%*VE=o|$ue$9Da>e3tLox`ra8dMEUr*YFpRz#I>w{ zV8B${FfZY!Q$*%mT;_^8rHd8StrAA~^eaGn~Sc;r;99qbsl;-tM%3&{1UKeC?CMJh*CKNNUAU4J}v?`6c>O$39mn`WObY0q2csA!D_&q{{I}N3OO}@8!NSEMiUx%FBB3@|X zlKb4qaC}5&bz6$l_s#z{xDm(r6wQ%12n*OCvw3`B_Ex~@?v1#WSLAG;DV#kHNb4Pi zEkCvPS5jtsl`7U_D z&aiAyLEmHt7kU-d*yZW^Ra0=)*x-$32$v19cTR z5PgA4QC!p0-#&qy99U~h@geq=!AtsWy0fmo5v^Jw%PV|>`^NrF%_`6_G?;SR(lTfKuFI4wmNtRb^G4RsiAu~ z@;pdz@_=Bs3;mCw3))c7BT!-(m`H=086ZEXGfV ze7^0-#amAqQ;umfxeX)}nJ}O_taXaBq03FNb6=bBFFROzdKJPs9{@iBI>B_ALvYFr zvS2ve1vd-J$^b^Ej)-pvu$~i~;U;&r2rWle{!?BVCjafe=6-Z?1fr-3cfb5mr15kN zAOYSylNNpsZ)rsuKW_(LKT}7!ofVepZ4y0jpaj1ZdXCcHv;J|zBO`rdONTgy_#Hk7EAA{J85OQEviuHVz=NM z89iwbMeAOG8$X4&8#iKB5OCIVoyh<;=1wF7C$H!(gpGm)PWp)$1aCt5YGY}$JG3{4 zdjHuv(|}i#S*1<*;jb(~Hv>dnh9dFLhEQ}RL_y!ULb_zVXx&*jWbl8?IizrCyhm0`EUHXjQ*t;B!49g&0jP|Pklt|C= zn}Tza&Y-a($aR}1XBg!xD{H zX(djHbZvNz=o_p;7f#(<3$J3MFd;bt)97XvG%6QjT?ms9NF5f5w*Amy{tdl{7Ym!1~CX=#k&!@mt4hQV$ zju7n}4O;8-86tM$D|*jtBn%X?VaGMq4|!&?jdS zUo@QAf<2pr7|c3QqB`K2liwhMs;@+p^h<4(wON|)4;*O({PiW(v&w*Q#NovV_G zmCqnW>vR^OKY=vi)AIUOJ3<1#rDfUN=!gA%t-fODoZ$Qu+JNO$8H5wpfYAwYTu6$p z|8C6mSU<^z<_VNTh$_BfEv@r7(+JsWEE5%gw=?8B$0^-A3Aa#sgAIsuO|I6W%$;p5 zLX1>HM$SEkCc6kP)b!XH=IV2D@INB=1Wuu=Y@=6Oy+ie=>*S9i+r*(+s?|& zQ<2;tr=;#fUn|LZ7hHvflLEwYJp(~;r4H4V4CrIewY$HC${_RV;rJPe+gp0qzQ)Ns zln*CYQ-=6MY089HEUtxazE3i^5GbaY0XM8e34CHf#p{I;5oIv;GI@(rZeot5Z%-S;e5psAu8<1;zS|;-xiq+*L;7Ob?gTfRQlN*7<=V9xuR>9 zSP?WrGT@EH3Z~jja>#IU3H*Yf?+9bB9AGDW!o>6@iPZa?;TSwKnstp(YVvlnXtNoV z@C9=5xlU$=TxPVE{6bxf%L)}XVfz*FQ#BXn!iOAZVb*z3f+7~o&SX0qcD?R5D7f7V zO#3E;XAXWK_c`6K-2lvJ|AeV4+$w|04A`3Kp^%OZAv7#|VZ94Q}?mL&P7RJXCvViM6=$2gH$q6F3r1S0t8q}JA`fqh|u|B^MyL0GU{4f?v}dMs_(%GTApIf)1NMR z@BajikrU;eY5Z^Cg>OvY<|)hsH$_=P^-Re3>K<~1@9MYFW^TaW$`VE(`nhTe#){f; zhhw;J!_?A(U4L6n5qyj9>6t}{p0zuoGcGX0X70y`>%GAUY~rjl18A}%4$|rT3d{{R&8lGs+7TQd8vwB}9OqmL5HE&| z6n}`Uk^80BPzb@XZbGtR#9Gz1X<1KUGN*PS@7ZIr-iRYl;Jb+Duly#Gg6ZGK-Z2RB zAi00A852kRfB!yHGLMoy!Ys8D{eDAaLwezLS&o^NQ;;v=LWUc=rz%ellU4WoTFlys zq^?zZMK3dQC^8>E79slK{r^yOF8)mZ{~O*$@BkS>2OT75!?Tg;p;uo#-}a#MG;oq@l?nq zCzknO0sdm62ajvRA00w$*_p^U)U#8_#c4Mz5FshfQhpic$$`opt-}0!Se5G%Sw9j7 z61U4(SC(|u?}^md->d_UjliLvJw-w%YkRXvnD~Rtf&*%du|U-tltg5H2{u;EoE0Lr z=3by0$_@dqiIwcu!>&CaqpoU%)^kdPYu-dc=Z4`Oy-&hMy+>-OFMcN-Gept*9)b51 z%rO{|x4fnmO7Mga7QTR7tlGi!cYBJ=a_6YRc0ivpi<52BvzLLLDrm*?OfYSqs=d|_ zgg-kA(0Ddc1xAnrb$;p^yAy;yO?xKA zx7Q$#Ltb=~N=dEewXv*N9=s3ko<0fhJcAOaxuNBC)$G@aIoW}Yoc6<(W7+@h&A8r? zH1Dgb+(jWUmoA74PJf_%i2MY1tym@^TtK#NJG-VLoVih#Af5nXbtmA+K4i>-9$oi0 z(Wo9v!_0}szXF9B=hYQ*koOgTvnz&dFq(-BB3@B63bT4M0Tw((^B+UdG`$!8?*PuJ z0ou2!tvu2Po<5dI(AhOcg?MDT(;!u-SVmNCLu<*qK_NMaJXsgQa> zr5PbqfVG+aTtZht2j`S>iVWVs_Z3xfRP)M#%|AfTIwVXBIxBvx2?ZvnOpzB{0?i&ai`S8 zs`6^SM(n#%u|{=w{{&RXCr~n;^S=Bb@J;IlQ|e?pk&Nd3?|t)hg==SuvQR4wQMVWgNsMV$M-O9F#smdTTmzbF6ubBh zxd-n$HP0$Xjk1qi8HM*yN|_<^$jf(oXc+tjqF4y5q|Y(07);t8UfhtFn6t*nIj^3S zwhZ~yFirXnmZmBFMX>86!E^3o<2p8!cD7Ym+v};gss-AOKiWXV=RjM3TQIn2fL0nY z$qeSYPp9{Bv{rf=Re#1uwo;mULyEOm#s<%KfoH~8hd+dOOoPK?p zXvKkm3RfLN1<>%!X{<4+i**d~ib@9mxTIHMF;}yIu7GxA+t`0A)tqpzLy;r+7G?BD z_9aPEDrf7}^FFjcLU_iuFlI_2cx!7TT*)#}_}Iykmz-t84O!r@i*Tu)UZ8H`*I&ZM zOj2c<59F!7#BnSj`^OIbDv`^XKw`CQq-IKG%sbJl0-Y}G=kGrs`s#i?Hdi$JQc&LN zHAc%oi3{rScC;vAKp^D2FOfOlNOO6x!sZSo*&o1_?Sp&$iHEYuPEIidss9Hw=IDa0-7qaEaE|>bnWGZh$10uem7YaDKr>NQ$V~Mg%>6WX zF4qK4*(<`Cez~+Kz+&h*?{Qjgr|9gm6i>CI<4&LC*FFvRv2=&b z@_VYRe$9a8xw5CB%Lho^aS>ixP|BRq@f_X!6e#^c^!PqRMqUVj-Sp~>F{vR#ortInsO@x&|mBDSurPMe7 zZ*+>0*F}q!xyWmQi^!n$gv{t)e_u*QBX=*s$4WR3*H)NH3&2|ucr_W@DJv3gHglCS zJ;6@H5a?*+M3Tc>M5yKKbyv>*AwCGeZG0QcFv`W%C0rElsF;8?w9f##eXPs_eTdV9 zp&E@fc(EA3Kgf8q4!WQq?MUwE{s~<;rQ4YUL z3e8fJMm{Dx-s;1dVq=hk3L|u5Ocz$trGi65l1g;}kUnvPlWa$^AFW87s*F+)m4c6Sq1H z)(Z;p3&fT9yrhShTk;K0ogAaDcu*VseA->ZnSNGtKzTFg?d(NC@FFMkMj|Gp8QS+G zM(Q#P94!)a)*F4NCEvuh*7aLc46ymZ6Eu?&eCFK_POdyjqA3r`omh}jh7#31pCPXl z#ZdPRU%+Y3l|xPtT4U^wFu#&_=BPPus>hXkqo|IeyuuENn50HM#MLpE`QYgFW~;LK z#uIuSj0WT`c9fvs99N?4VF}yulu*s-Lk7Okm)kH-jd5$Ncw*RP7wyuEdfkX@cea)h3?NMM)1(?+no|n|R zXVOg0)4s76VcB~@z1|L(RyV&$v)`7qIw}_xJGgkFB}#ZxEJ$XT>+{ z^^-IH!5NQN@Ev|a6Nl$G*@~mIm=Qh4leS=Q_7`lJxfIuH<{_gMLDBEZs5S4rZxtPt zRUPgi7k&!LOGSASRo%ySI(e3+{7>VwXcbYe?F%2au4e9AK=h|22*9j4V(1Xg-Q^W; zALPj`%jIl!eFxtb?CaB+6=mDX^$s2)=*+wwWx#NZO(zA8pV!5Qehy?Ax_WbGzM=E~ z$m+SUogcMP^XrLyVQcT7-SJI{Y8ka?&6Uzf$C#87sQ9+F&J!4Z0EeLN$NT48O4) z=Bp{g8BlxV*tugB3Bt#pXW4@PAv`<&+^u!XLB<}LU~W@>$-Lc8@=x&yd%OcJNMW6b zMrL|4l0);XG-he2P^?3)gj0N2PwQ?o^v{28QpIB+;`Ab|`pPd(`)N}3-a9DCahs}# zKmBu|U-aj^N4E(WU1jL>yJdbt0bZGC!7I?h@pG<1le6Hnf(e;@oYb5Ao*c=I5kyST zHeE-bK9(@BZn@7p!)+A#84IbHnDU+C*QgI8;jLf2R75{uRwX00#SLhFs|cIpCWYTz z?+a%=TY2(8;S~mT?sv*7`8gnjgJyIl-5%!Px13_xHhR$4Uhv$4JmsEbgPW?o!?yL( zUMR-$wJSpN>iZ+}Adx6Cb`Cf<`%58tuXo`AD@Jqjn(ZEJ`K~7D#|N7$6Dr_!lRvR?Shpg#6@+}o+TiLTwV9ThPtL$u2S=zz4w9@)tnU8 zx((XBqn-1j$W?r~O}2$w5Zsa?_crSVC(lBs9ZC6a3OEn_OVp-zmbhes6Olj1uArga zADPJ}A+KQZ7MyX3tMaZvu;eOok9j}YF6a|Ns{UKhmB2FC5(Uo^b5xV2ZMCW?MLG$v zK3RRj`%9Qy54y%?g3K7$_Fyha{aa9vLmni&tY2CZPm=5|qpJ>}wUIW{u(vS7*s7x`oWZ;&#Zl5ch^>0oTz@^H?9TB3^j#euK`yVayf4_7uq9`)gyW<=&l+B$b<0oE zEEzGpWbh-@yYCVB#lCRod$B_QVYKA3nM7&Jfm=Hi$~&K;^4(g$C^}=8gxm$<-Buf&KK3=w zI%!@rVk&P6G1db)Z8^^xv4UFiBh@!$LC(WgIEU4p;*sfYmf`gdHu{XU+=UU^=ljrs zY<=Ek3Fm+k!7;UuwRmF;dA(|cmR*50wCE>A?zh%4N++cj%&|6FgUzf`@J9fFI`Fq> z>g=OGJCvJA$;uVXqqF~=z+3}^91nR#}KA(~;Vy0=y zzb!MvSKwu>r_~+9ET}ikaLSXNEWWg!ud9e=`Y$IH6xmRQwk;MJj(PLE6mZ%P2H{;D zsN|4#JZ!#>di@>koz^Q$X38J0)^YhGqI zP1mdrb35ENxds(%T#Q6roTSO>ZHc=o$e9lkgc>X4^KQkLKD^Wp7xoWBC3!up;Ldn> z_HEC?uk6&k(QYK_Gp_UQ2b|s#pu;m|QX^k)#?MayZ&OP-xekp;Vll2+GY|N{`;?^J zMJ8tVN?ih?Ei%*mPr6S1f0tA@mgTXmr?TkFY(f1_7Vnn zhuecUxk;HN!LrZa4!R46-WCdBrcj>Nfp0-J8ys083PHJfkI!j%V1mQN-z*QJ9j$ zB}tkZ=o_~R*EgDABfdbs0W!N=Fgkk%{36q#4{aea2VYPcGG9tkyohybvD6Wg9({L$ z`YzcwHdiT}kt&R3I{p^;NuhgZ_rzqeTRG~Rq6A&H{`~bK{vF)zjKqZtnl|WFmajD~ zofA#zx!rNzj~GkWiaxQ2o&7hpmAJSiB;Z%in$@W^+B%)v!H4fW*A4aA$S292T?Qhg zz`GM$x&jO?2zO5-9h|&MDIw~eNtg63K`7(;2vYYpbT1_bVqHB{diq$n(5=ahzdsgF zZTN^2#fyQ^^d6c@D@id;L~E;=6{I@%iYSA%o&c8vKD|u9)X$vzsr2Kn{oi#@mGO)d z88-Cq*9Hz0;d)h4KlPKg#WUZ2V7Wv!0cdqpdgo(&@H$x{$Uu*qKf->Zf^HVY5S#1I ztE=3o6VQLrH2cXqTmP%|gFjSb$P~w(YuP34a&AH1%9l^6?>38N1W&r@ zB&XoET3y5xmcDj=QVdo;AVnGw{8#+(VH*P>b;=;k$OxO+!vYvPE2Zs+UsJMXjB2cv z&NH`GxE6{XY9&cIob=df=0#ca>1L`I#=u6(nuNwR^@1%8$xfPsw#Q9y`vTU0zbcKC zI}<`zcW*}KMfHo)DD}+-Xx)3U>Q6dxhVfEDyDPbpBSYjiC8^%_LfQul`1 z<|vX~7cIqC5wF@iwm~~=ZbJG~DWO#p_yo!g4;X!nd>Jvv&`ZJ9>6H?9)R5L4^^j|m z4baDx(4A&7vS{Bb)pt^q%tHHq9-(F>$1r-baP(YvUdTssa=-#&b737l^#l9*{sEEB zWHctj`@fGqvb#|?1|Hf+W0bEUJky|7!wR&Ni_F)ZvHh^bw$-l1Xm^|pojmGc8oR_! zHIVsB+*~O#W1L)4$iXEi*9n00h8E;FNAr0=aQ!qUl9xg|T65Yz1*hX9qd#zx$A3Mv zd_#(K9*3I^gP)6&(9N5|;r4$!9$H1Qq(^RrR~TNTXM^^Km%!_Bd<`BU8Hr6fy@;&b z{|Zo=3d9|51fN~~%cQ*^Rt9*Lh7Hqh)I=@D{x7>{%!`CR~oLm87o1kDv6&j0n#QKAQ;3 z#m)!{mtkL~Wni}%#2E&}j{StB?807b-iB+BSSm&*P(VwC-Oz^C7-8TLly3Hox!1{x zVi>~@DrdZ|y_)ih`0p@VK%Sc_OV>B8hj5DgFDWU3mlz>|P>5AK;H=kyhzI`Rh3D=J~tU)q< za-OBIw9V5g4~tOCghH}qKye}Dr-jY78?~ym7K56{YKUcnN`|{O$_&`cf~HFJgDdD1 zC-1R4!|dqlcW|)Sk5$f>Wckf6`3x$k zIN}VsX)d!U`$lQeG5_O~iqF6g9vP=ar$N?fNx*nW7bGzKhEOfyFm6uJPQ_tEl~aI> zFPAwgJ0kfF?w{C)+y1NFa-$eoo%P476cqnm(R}>(Peq~8L=iM^Zxv?zTDrpoo9#bH zh5vX#7rx$z6H@j3FPy+j{U2c;wAQ1jzRLiVNeC+!VUvp_@HzG{VmCeURH=EQ;L}}P z=9nAvf9Wz>tBaoNPIFZ9^S`+^`wVTU=NI+-E6$)?kxXRB#g%jaHK!o&=SW$~N9OK7 zIP!?V*B!KdzBwF*A1TA^y>G@a-hwstTZkTgQ|AkZzaSI_8=VZpgn)*f`q=isRL?@SmBi7;kGm0LJyfK_&rzN+PJU5g2J}GBL=3AOTzU;VuG_Z(E;Jf{`&9vhF zxqH=U;5vt%@js3{;iNcy3uDYG0v{9@;k7!V)JONs zZG995?;3&nv8w`oJ}1xgf-rCiFiS%7N(nl4J>a1M@3(K`t^e+ycJUOK$DL$8+viC) zl83gh_G~_UXpt5v_`!a^8C?g3!&*u_n0q;d(tRtdr~_a7@C{)Lw1>xH`P=S59k~}) zHPf%CDT}0N5vE4Csnvt}=o4s^PL7FlA;8SDp^-E*&^1lzXUUxd4J<~1U!JM04ex!5 zn|+xF=y2l(Cs;H>t9^`5-?WzT2-y+Y$2r|Xh;eI2X47qWTNc1}u)8#d_^EA;^(oSu zaebMLnX~O2Wi7~~>~IrRO-{q`LogfS;1X+#XacO>;p04Ed*=~>`MA$AxQ%@Nnn5#q zscJM;p(c^x38iq02~vX&^6W6*la_4U6`ado)a|**!_M zkc+1(P6&Fde*-sN2DQA><@Kt74UJY9$F?msVk*WjIeCYbGJi;R*GT<}#l zuFkNBedD=kx2X#(m2TSml~cm$3Af>muNX zuxNj~%lQ1q3&=yS*R>T+FYlnD=9|RXOGqq9;$b8GVc;EYRh}sDTNO}F&IxoaUukBh zdW(%Mr2P#mtf%q;GZSYIqy139AD`mwcCQJ>vENv+C-C<3>H3ws@}PkNcQL;AB2Q_J zxO?(1^*60uZdDq6S0R)*d7qeI3hJh*pik4yCEJbkd08Q#c8xN`?fyEv?jI0ON%>r1JefFxm~+pma$r}KM0_4w^n)j?%LkKSeh<4RXK#%<4l36(DP=Hm&n zD~d!PxC|6>Zy@cOmypNH2)*K2=D%h^!mKX|!t4MvzulZMvGWrBSmKU5&18YzLsI_9 zVAfgdMBz{~lI61i7P-s4&xcx2B!9EsOOO7m#eHo5j_B5or%aI1E_r@FhOXv(ao8Wc z`^{Grmm;vGq*~&020CjZ3$ndmfEfgWral>H4^|yaYjw}%S5m6^_s6vjWc1w$Z|b2p z$bdtn%IqanI$J`EN-+5LA=FZC(|5K?FZ6Yz8NJ_Uh9BsT6FFB%vS(g0BfCZ7;z9Ns zEw7Pz`&wAYgssaRZ$|n>VbcZ=<+4gxauh-N{DPjuNwmkMavschp9s5vAaQAnaBR;X zz6W6)=f$O>u#;QbICMqRiH&q-FpYmTdn;(B6|%+ioC@+BS{Ys zV4ZswI!@yUtmCQ7Y|!(z@e-T5sLHrC3-UA6s4**+l!HC+cSB3;9a})(eMWKo3-5$1GQ!a;k(iE+1s$1~~4hYM+0SEN(AHr-ww~ z?Q>RU?Po#5n)!dtiU?xL?;FdmzmsO?O{JwP*9~O5#jP4xw){N2dh-%1_!s(tEZRC; zL+xm!MMz?WHD&}=`+r|~?Oy)mOSwe#`%QCm>V_Zu#U)VR?$J^x0?h_j1Wj)VltYBz zJNXeB=C!Fk}#pxqOT)&h(FaM}3dxWn?^Iur&jzd0!~>pMa=j<1*5&q-Ct;-(tu ztdRXL5H75VQ{RzVfKDEunaM2uSIdavr)Y-ZZYXi|9heuB5vMJ%(Rl}UR4!eEe|Oa} zy5SiH1*;d|3Wpb#A)AJ#5uOe1r5_krtwlq3D^{(35mFtDdFZa7_yL3qCv<`^@pyZm2*Mqv1s3uJaw45+PVsN3&GJMMmf)w%x1E872;UbUnVeyn9p zXWQYM1i256GDv?C=ztG|-qU+o>c{4&w-e||v&g(dJ8oVuEkbS;MZwIvJf;utQRS7T zqcH__beXK>1z2E?N3Pnw&HUXc!wxLa4y})3v^y>EJJ$gA3=vxUB}td9dhAk-!7=@A zcD}rt+@ndj8xiGHHVaqORLk5ay9!Sp|C&UdGDGuBF2K^xzF@rXKTo}7@JGbRW>)P|A9=ljQg!G%wpu)^)$r zvaoar+`R?O^CINKPTGq=g53#nvc)bN>LdNadrAb;_fRVLHC91KFXm0MC*Aqy*avyl z^Q<&0Xt!Pu_@xrOXwzyLQij3e>!clvNYVLKHfsX;&SaKZ+!iFHJ9+Y2U+lf`umcsQ zOGmrib)`62k?U85h9F2p$d>g#R?63qzs=h2;e0gZ(={?iRX^ z$=QPCS+g7kqaVznHTU$rhT(#qe=IY1eg~QlH?ki;JR2~!bvKsU5<|3bsG#1Rx8(2R zSm~rr!k)U75#6Yus&J7LwsZB#iP>CGO?D!R$Do~u+gZu`hmv;6jO9jAWX1Sw&>wGv zz8$7YtbHiEEGH4&KIo4dqhQEAq{^yos2F4kUJ+@-a6mwsze!3bjtrlAKjLEsI-q)! za>uPPR_kz<9l@Ytc^r(;fh^uUM=cj1Pwm&kM9eayFUWE=Mb-?nf8u!`T7O=&?pS7p zj?++$oay#*NE-o#jEI3VI?J@ab-$^1==BmuDUILuoH7iz5Tqm`8K28tL8f#bVV{X| z#g$-nsGx7_bytk&6FM^JGG0eU(AcF6!Q0BAzzzCZ*^@wk=Nw-Y_l@d)Cos<^*aG9z z?5ey^6Q#qgLQ+muhcWH*$<&+?_&NI*#DjOO8S-24#=7r#!N)rFWVkZm(Cc$Ue{3_Z zMM+l`g7Sj2!-)mL>jQz)ok*FY$)BnOLY-F-=GPOM=|QBzhXJ7U#x%!K6eW0Scs}pI z6H^8r3sLrbiEEOfjNm3|;e3PCSURa4SrMtHGb}4exh!k{vwh2&*A34L2vwDHuhMU? zHbm< zguM)xMk3$5_Irtp)5q#1T}KmqMt)^D?7H0NIoh+ko?5{o zOy4MUle^6YjHBl`8xJqRW3``C9MaU}=pS#g1AInAT2HXdeY4cV+I}oeJAC2JBc#Tw z17OYZVJOS@6KjL}IGC~sI&{!B$#yT7vDK8kKY|TD@q0(LzQZ0|j9~eXB2h=t2*e~+ z;#1E%u7&cC!$2J&Gt`XG(>{7xvHWo*8;a_8V6fq{G~)dDPz^Y9yiYSXP0tHPr* zu-lK^7*8hI^1w;SI;PBByg*C6e1T}>@SJxnouETWg&G7u&bl4)os)Bo8DkpOmJ%~g zqayR@kjj9m{??}@UcuF?v>U<%pQZc%TlduW7cG}FK`48LB;=l?@6`2M z7KtAH;K#>G5cTHpFVTDZKYS<&(76%F%7mk3sI4U9Czd=cevaQC4C?AP<5T}#W|5Ms zi4~c3cTHvS5aXVJzv~XK{+Jr^UlNogL%BXL=+>#`0)Z1*%kW@yNuYL+I{yzTGFd9I~~ZI+B8l~*nFr_Fl?v=z|w9BcYREY3aU29V|4 zNi(n_HEsxkLN-ZZ1Ht+_6+x(KbSrM`(C<%yj<=y)wGtrUYzh)MbuR7qu9b=0B2#Ki zUy^QXn4ltLji@#cu_C>>+DTP-p$(L<-va(C5sbs^r==)p`+`tIcDMNkJq+yRpv&JKO^-kqu;2? ziCG-Wf!|DqJ;|=73stJ!jLSqez|-SDvQO-al%CCh&bXt2c6}6A`~9By12QQaQt}LE zZkZkj9nX1TBrkZA0#5GPC3Zo@Z$wckvhz$NpMB?*)CV6$Jf7!4kvt)1R#csEX+NM! zcfSS|>plU}oqG`6LWt4)?pNbD$t%o28=K!&LVteE90QGjZ7k!fibeK;; z-jNU-QDsv99kL>{cR#D|#<1I@JhBti4X=s}SJzCB&Fk02(H^Y85MSzvFFTMLw_i}3 zF`&OvHgc&Kr|D=?CSA9Sd$39ay3!(_V|cI)7;K&AB)f*IU$cUa z@v4$PrDkD?^z*QQLRU)VW0cODQvDh$(RZrJQ+)ovr%-BLW?9mthUVCSMN>3U2k)?OA_gzM} zaRbZb!8iERQzT-?8TH33V7MWSlzbPBTBqND&Gh=la*;jBsk4Blxoq7L__gX$*$ek+ zlVB84WePIklmib=4ksDz@eH0nNZAoLOUvqv&+AjQq4W*EqUR^hlj{aS)ebXy#=uMIEt%oH|4_X2%dc(a_h0#8 zOAPuzN|O}r+J%;wE1+G2RpkQyK|6{~>8Y7&PcpMns$@k?EZifZIw^ zJI$NgvcRW!%NIArN!EqI2G(0;rnCZ6+*oSRhkIAI*uDb=rl`n`K4{UVD58SZABN)v zR&k&{_ly93Jm9;~we4rh=1ujO6m7JQM-gb07Atu3AYPq=vS8ftETfymy3eO?Ed`b6 zYI2XANtI(hAcfu`ib2H+iqn@X)^`k2qjNm)j)rs82Q6OA>$1P+=HrlJdzj#IR~dg? z8r|@e*hgERFv;v(|BC)T%N%z7Af!g~Eai@a4c%*nlT#)4ADr{*0d=)L00Hi5;>s{f z@$GNywxc!@pG8){jO`9Wk%;;di;SoG|jh z&rMZ2`uR6ssujXDajh0xLBox*@LA3Pi*EM@Aoi1Bhe?hC8tB^W9$ZCjg1Zuf;P02* zU15QcR(Q~Zf?M5ega#_IB?#MDcMnXlZR521|7HbOG>attznRR7O%apWe*ypeWtu>D z<&(R6RDEqi=pcvkGm}#}A%VZclu}ftzV@fyP=2M)4Cy2<^#;Q|Ppbvj?8F&wdIE3) zL-VNXQ2GD!*H6tZ0esvRDwYQ_SDBq{XYx!-Ev1L2h5lQ^n94qW60=|%{?=X~5_~09 z@_Ru=4$`ut!fk0NQmJMs=F67wJZdu_d_$@%SbKfe`)^dkCu7bh+{5)2H9gML)|fL} zy(NWDNXmL;((G|dV(bT+Nkb&7OI|-2^B&iFuYxHLkQ-MUxhmJg)cZBD8$;hg85_Or zK?=@%1Bq)m#!T(1ko5`G!P*LuX!0*eUK3m5*aW34#_)A6Vz6=1`ax_KnlcAW$^xed zY+Ow}DJEqN*nJsLjhY3U6=WN7Nb^cep8DUGB=It_AqT>DRYIFZxZuoGh}eJ?)$T$w zRCA%emLZPvbL`g3;}Aa^YT$AKFSU=#A-WYcQlqEH6OM1-*H9yf&e><^`#1c_yl>se z0|DsbU12Pjr%1QzNZWlYz`4WT++)K@ks@+x4Ix?g)uX_z0<2;EAlbGsFfWb~F8$+7 zN$*%hz{d$Bsv@Na8-8Qg2-a?0Oh^?~N>p%kK`k)Nq^$_EFVj z@kVE`ChIJ;{p#24ANZzF^pP+){H8~y{WWuHb_cs8)Dmsa{f6LYnqoGU;d=c{KnJXU!FAO0ekecw)KNGYgM%YLre85 z@BLSj($VO`9xLdF>?Imn{=@dd?_a9akLz&F`tG0{p&baHpxGHu*nVhKE#$r>1fyS5 zstVU&Y1Jo)M`MI1k#o!Y!+rwR{bq4ItwHKtk3}ML7Ra)jWl6t)zKtoMwUIf$wbEV6 zoduG2ED=Y^)%%$X#JE$X`?xwT7lSr)i?GGtqep%m$ z4iOgY7^PZh#S{BLgAD9BuMFQ6SjgFnm@T_OI(Lz?ezlhRKmR-WTJH;h_L3OE!2Ht_ zo<&0T$%Zvpg~somC&fiT-?7X3;s>(`H5vbVcN$pN-;SLs^`>OqB=-svLYPdSrGHO+O z7cFgFyfDcpkzX+Mih-pQ?6qfpo2JGOFts^x#GCU__A^)U_))yT^fQ(n*$aQXcL8y= z^XqY}l8AjgB#IxHc!RjVsU1*J{*QB;^PSo3_7dYCO&}JW!WL>wF!zA<%+#DZn9(5H z?RzcJnCZnXTnxk)j!nCAb1taa|D=&AhN_^k(+XR&V-9xh&*grLy#J8hZ@t91)27mZ zb~kzy0xT)l$I^8dsy-b)8-1!%G5ZoTQ?GZeP~OFgo8N@1(>-r{&+`K8NA5+2;R1YL zWHTaz^HNgq0gMZ=rU|WJgLvE*NJp3Eg|KT+A>Nj}N;|QUy z<{Hex;4Y)X^j;{r zl*s=miD~OAw;=I7)|eyxxYM5#g!-9@vi5dY=5`*gPPvSVenH@-7@p)^;o%fb{_x{( zZR(EGx6KMa5%dD9yN+gZ~(4Z8A|Oof>ox z`(FA-u>PXtAFoo9A6bUC$0^E49K1EwZbLk;;bm-oZ-vwupCI(`L1RiSCGg$YRMjUy zhT-3qx+h7vRFgG;IY`~t;Qe3wfQ(glFAi4u3;A6OKQB+R+o6lQXgYq0{{eBYQ`Nrh zt1=B0Y3sAZqWF^R9)zlMNoqQA(Kci9-7J^*h1VA~KmC+}b&BK@q#fWL3~65Q1^=d| zA{lx+?p4SX07|qG;6|+{{kW@K&7p)WbOBbUc$6CXyOF(rdlX#r(p)I5KauJzua_R= zTk!P{0mlDJ3?0xj$a_V?Z2t* za?klYudCVb`srqW;kJx};95wk_AX3)%b7Ym4bVN5kzB~W!;))I0Vw$Op-nTQsO3d& zW5o`t#LP)fYbv?=h~WsU$edJ~@d7h#R#6`qsOmxNX++*l#^(IU0X#3S7KPahNuQHm z@-*`a$_iYh!C&p9y>Y$lU5~%P7@d}k!WrA9)r)y#$4_w4RoCEfU6PaIN1B0S4Q1dd zM@x5&rfGp!z8;&`J3~G0RV_7siwKH{guRtjv>SMjY|$h!coP;bXRPL+zzgaPY+vIB$E6UHtOo6YBhF>ap0JxjDlG6?WIJuFXYq(-tkN!FRh z@l6l(3FNKL$~C+Q{tmMab_^D#xh%4xml2A{*VMl5r0mohMjjV=`S~)79XMjUDN;R4 zMlN3(qo&p_5mT%{*UW5W`}9GK&YnyE9BXO0v~Kr|EqVezFbQo$;vDp5XvMuUuhUQH zP*ofwcaWfU3ae~X3JhUhNq17vTnC2mbNYFr?$&LV@mdS4|KHYU8=+G%1L)L-*R3!+ zQg`P6V=g+9p4sqgZ=u)sne$ByupbSZ(f)fr;~6rYH0^R6FW~F{&~pW)6ke>_bV=l; ze|(ST0LhYisTWOEZmwC6C}Wfkx0iuR$1kt~yCB6Nl5w*#dY`VU^0qj#cv~KHi?Sxj z?Bf_m>V+kXp?p{lbMgZDVD^+b`Khd^PcKpcZ zRQ-k@o;Y+_*>O86SyKY--s)kW>I!9P&jC%uml)NLs=?=O;E5665Qev)67D$Qr!)-F zKM?XOLWNP&G+ER(N=AH~2(=b(sDMJ(XeUCd?sP$KC&j>~EAJ2`3r#vlB>4R2gH(r% z3jQ?7Mi6{JM%=4rIJ4aB(@uDV`7a_2bLNgPedd^5w-*7cX|%_2D0du>YmV?0ezVaR zOn;sV#cYXH|M(HR;?jmL_-4zAU*x1Z==sYmH>sN<)C-bug~}7QnMx@8XRV<2vMTp1 z*M^Z{${TTW=hf~&R~mNVBz;w4fp6lL$l_#xKWxFynWw8UB;`2wv1WMI39CZ6BoZ?K zU9LGVgK>hSXd6MxdMu*31u9{X3O{bbm6-do#zVqkHx76UNfj& zao5Iqx{I^aU7wZ(hQ~nd=0TkC1ay_H@4v*0jyyCzLyfm-wYv{y^dzcpQG)iG z#S^R&Byx@y`2tJjLqF!OR&oyb^&+D$IXkU4m}MT4tlApYo@E;<<8pjNtd#6bunM8d ztthJd^hk|b>v zx!szw*Gil-0aOX~6z|T#*Dvs$Suc4i*E!?UF94d|^rox(58zA(Ur4u%;578U!_EB8 z^V|L1G5X`t|&E5WdV8pAkt`E`Y}$xR#)g^=1XOMR&7A-Fm_?2}aTcjex|*Vh65 zBaE}txrUaRQ)Kk++jBrsA)tJB7TmiD<+^?Z^YV^V+y5;;Wm# z0|&$5V?|Jo!3z5&+me}Ewq(Xm{R3;r0Wqhnd9Bz7PdsrYa#p4J1I(KX^X%4tYUubT z8G;a}xc3spupKFuairR#gd&-$u_9$|a<7++&^*RwOF69di?(-WuJ^slbDTq z4ADF*>k3v;=`vt4Rmq9enz!8-o;Rd$L16XiVqX0~f~}b!y8M8bvhtrFA3MFSuk0u^ zsg>@{!dfzvz;;KQ{(H~r-qcyZM`)WIEa_Q~yto@2_51O<=N2_6WxaUpu`{XXN?Ze>?8O|QV|_fUHNt{!bwDpB>JCY3z~)h9v&^*_ z{EFTfY#mHTJ8ro|$#tc7r+e$9+fWOXaoSHW3Rxmf0q(r;qO?XLuow;RE;OfP7sWAv z)kF6~hG;=AKe2x9YEQagq{`oQ$)@!T4Of#hL)?)J=w>W@f2Ma36ur3zy)C;6NtChl z(hu-M`2TFodl7 zL;REh?W`W8IWOqhT@aG&4zIAYkJd0YN3a4~%mrziu{ol7_)UkCjCaO^OdtQ3yo|so z@YX#SNcUzZYsw`Qz9n-7#*`ONEUrww65Cr(Cu7;${|ep0&J( z@90`$3dbSMCyCOYK@ek=NsvocBZ`^{)OKqk`Gh6cvRuwroNnRlb+ncdzkY}#$XQVO!I)e} z9AzHpczFZi=urp;d~j4OwM1%+{=H#!aYDFHvC5&(KTuev{4r?Kv5$K|ai*zZxVfyV zGI!l!yV}pBTjb{L3b|P_w_)VVyO045A4o;K7FCfOX=*r1V)ytt-C*S<9 zNU3lr)<|)eBwDz@N~%;-R1%j9z4jGqkY*duK9K-&yFK@MA9`x8qlq7MLWQy9K4V64 zGDT2wPeIv`6`}?R$CdxW9lq~cEnE5p)xIhOdN@V8^-az>@}RGC`|)1|(VtsVEni<$ zyp^gaA{bhR2q<6ofmc16WTuUr1*^sM+0O!{^|R4QoSHmef?RO!=egbaGC=2;0cggB z&&~_h7tn^;?|498(M7=G(Gc16$_7r+=?%~IU5E5C46_OI3-{EnfeJb3FgkIM;q+=f zQ!}*@m$}Jm$c05Ic0$VsQeX;2tGJufQM7Pb_*iTS(o}sE=%LKPQ$0iUMBng?s{dj2 zdHM=1Mu-ccNvk3>?!`ju%ifdA8>^wNiL>~W(NJm|-yzMS94c9rOWxo&f3V5?PK#&W z9aj*)v#Nk0DCMO?+KV4}d%+q;!v6iCWbIWLA6FPPqWll#mc-WfO-E(2sNKKs&w5=o zk@upcakJWbe7#w98GVmmkeRts`) za0MT)id8G|<@Unzz*Skei+ZktmhQhv2`B1PGye3d3NZ{XRs=27J0;YMc?TtZ&%j$a zikoHH8uC|5Tz;5B`P|iI^55eK1H&I^zQja~w`<+<;Bx3#hNoU5ez@YHnom!l!IFt{ zjqrwX9Qhy-u3BSt)2q^t?umKEIi4YNJl9n3oUK%E>#bwc62Faa^j5g19Q6-Q%(p&& zDzV6ZCeGi3jtG$^N8T4iesg&$T2+d^!WZG8wo5i!W{i~>usd+`pj!3t4EAJG~pYEKCc!&AGT#J6q^m*3%634!H}z0 zFo_lCZ%04cm9j)Q_}@Y5aH!`gMm)~TX}cvoWffR#u~=8&UL3_MIF}|1iBev1?oZ-& z_CDc@@v!=M0wO%lcgNbfroZUO2p8EsddaT;W2)`9`b4zXkEX}vV-;PdF}51Tbk4x? zA4U?%^NII`<`Vh3?eq%OZA%ln_$>CNQdLf&?@8>U1JrCx-Y1%$R(f4vnc;H6qdkLN zVl|CFz{aa`-F&M2R`<;3wY;Xuhp2vCz5A7vyQUFV(qo)m91+SKb!g&!Cu47)t=a8* zzhZnzm~Vw;NHM%CEzZC>OKQrdB57NaT(NuN19meJ77$K0vkkZ6{+@RFVjkDE8s3Bs zM}JkafCqAp??r{&&T?Mne!A;k!il+(vx-su{zI>j^S8OiJSL@jOm~^idF9y_dR7P{ zd*>UUOo6S5eE7F378P9HU-E)h7F3K(4vj4rFeUgMv{mrSrtkCN_?UfTxBG;2S8{-- zQw=UDxBg5hEX#gRs{HuHfYb5lFG3~ec-g3LHWlWp2f^K4LaifwbFZU=iVwRw8!zXT z(lQ`}_lrsIchgJ=(!B+XCLabLmU{G?CqB}3Yac-mo4ia7`kf&g^Ea`f|ChrcCO4f9U)04)1pv zWlEm}jvsxt-^ex}skqf0Ub4z}?su0q;V_|f*hXeox4mg7G5lx7^~c-j{9pTNrMVda z_V3ZhFH~%+O6nT_K*z{j3g=B36yWpN?@DknZr(s|d`o-|{N;Rp`3-M8k4}GOM`EH| z6y9Ucq~CD=m?%9h#mI5fc(Xq=;}e=Z?R=i6eAT=_$gV~(NoUh^H}PU?FM5OGm`|~{ zt%$G4kh#p@OU%v)GH!`}Uyb&S{ew2kTYDb;)T*RO)-oB?PAK$6j6JDebq3@^r6bbhNKDe7hpxUp#LA zhWGN%H&(Nq=;c)kMks3_Bl?)5Wg)?wxe4ae4XX-Tpj93lt-daTOIqRV-#r1=hIVVm zg+5*xLCg5tc-hYj1eNFNo4sNxtnM{EE~UH~QGI~+u*t?OoQ)CN+}KAuH;+t~w-pG+ z9VVbobqlm)1$2+kv_+TtyCm3zi0Uah)L4Bvign6tP!{2KYWMwPKJs&RUFA$r1HwId z81f@?0nc_@J3E)-F_=M`C!K6wz@xF>amw$+xXja`c!@oNbqFbD;~5Lt%SL!ZHdKpJ z_7TD-eoe7>3}DHa)ytYBWn*I%)UH=>t9GCf@q)i_%FlPHJW7BYV}MM|iei*y19OL@ z`zL+$uAf62nvtzQ^+z^9tq>EK)IJFDMAaxN-Vh;vVu~|Nbh9v;0;dXxnYy0zu=!~3 zB6SvJPsH^8{oIoRmL#D4F}GL2Hgq9HjfYDD8J|!Ml|QkA5-x;#j@!T!o6<;ECbz(} zd05J6=f(BPgReBJ;Oi_K8)Q+B_sAR>ZKD^>40W?8!oquKzIQj?L+t=(Q?SXRbOm6G zSt4`&&_X>BBIsR}(WT|eYAgQyMymJTBB%C$$Bmjc(oT>xFJa>jsr}htz$@pCo%d=q zo-8o4Y>{Lwb02cTN=y)TUA(t$XkEElX%KE_NAyyAbnKQLd8A?PybnlCd+rf=p(;T>cMu_Sg3!A zK*_`@$g~CJC+cHEZ_w`epFbBVZ>-|>E75NFjnx(Jh~imjrObj?sVMr zjE%6eo=rGkqATS2`C)1~Q+aJuF*R7p6Yz<1oxH%;(^lwewN-UF*rPINY{HS_`JnB5 z^{jw_iTO18M;h07W0_FKXl441CYxIOcJtGNH64)sG@GUmiT9su7^lSx==19ejR}4Y zk+WYl_o2Ujtit}!SiPZOzA1{eDxBA&zj-8MDV#T})Liv;xSRF}`lGfTsTDkWFg6&w z&quzOtN3|kpy~UV$$t+&JR*|IZ3k3{n8lK;jEa*zXdz3-gb-V2arALa(a>&&7c95w z8uRsaA*9OAy$ijd_lXZTh`F2<02?2V^q5CQ>OYIn_xjlNa8Rboj@1*x7Ca?jXuZI< zP+uu?Rs^%&{Z=ixv|b>4_8t1fdN{A2R8^B<3R?Y21X(f_Q_vD>@KI+=sy9F;N}kb%!ZfD~%ZZ(zeT%s~Lb^LZ zbLGiGbE7;v%i5m9WBQe`f_!;K*lYQ9;gwBc={DGf9gh5PZ912LzqDDQqqnZQ(}^r6 zjQwo^+sC()1e7jD7IUrD@z(brN+xpPY{Shf=N3Mz<7Wr-Y@|@W;=zZKuJr=CW~3tT&o;^WC+0eYpoY3yfL| zxO+~$YA(FTEbcg-8NMOrT0Cju>9H*kd+oZxaQE(AkAiyMjJc+fAhz7TWdCA~=*^<& z-LwuxTmGVzG|>mn#>uTN-lqd|i>`gnvo5Kszv~$>vet3xJmih7Lzykt?X!7mp4H!S z&K;!<&^Z$t57Jf}(bu8jZsqG44C{rAw9@UO7bChSKHoQRTQ(<1UEkr^1t!Q&Eq#mb_M#2yJOk5vbMPFW{f!kt4;aKee<@!o5cbLX*nkkj2>Q%)M{NVp8P95h#;~(wjIN6hzdS%&sEO(QG_#W*k?7Z2h zs-x%jjnAgkR)VqXLN2hfT^iTMm|fh@pf=pg=#N8S}X+58=?Y`txgQM_uhO=B*a zN}1!WC#I;`HnG3BC!jG<{djQoKuYg@ zVr>5#UTkSzsO(&#u+pZ~43{mhM|Xzxy@P&5C(PNG8$v zXrRrY^8HHpE<*2qk#{BLru78pE#WY7(A3V*l*TpN)Ervk^P^|#RX%PH<8pR}GYEw> zZl0%GqsP2#h{q}?20wt?IsPaA@v-&ToZOzzIBoy>vD?oE7%3?pBT$rVJe zB|d!G&8NScHx{I$6o{&%P+m(qsFVeo-6-j0Y61&2xyRfu%cTb@!%M-j=#yFgM3^j6 zV)ee*`I3M zh-Lh*Ek=_@@fmT$_{OWBA&nWXdNVN^ECmF3&K$a3=9G=LBA-91)7~N8a|y3H9L|&V zg&CjR2G~BR`MpR*OnmeOQgsfYS=Z5Q&!+@+>GME3CW?Svjq#g3-_!@Lo8DKn`Vn2j z39dx%L){ngSpv)=`V6?1l?Jpp@xdLij(yc1JFJ@~VK#F^`f7>(dNxDA>Smjw@n6X0 zPoG{?R)o@N+ae)JRI$>Xwp8C7Sf^qru_rs67Szh4949JuH5fOLsVx(@PhOFiQ!`a5o&{o6C!%X#tl%<6zUv|5p_f_h`jj3v z-%7>*#cN9Zz`5Ta?~r>INPXG!?Rl!eZMie=^1nwv)Ceai#8iGJ*Gs+Z9-l2Ig7 z{mtS?V|8XyYczN&m1|n;abBOpYtmw zO9uAp2NB=V!(0p}Vn^VPh$~0Tx88*}-J{LWQ+dI*5@HX;Evg<}d&$Mm9 z*in%%EwQ{P>anQ9nTI+1MYjkTZIxwPF1L+5_LpUQU4d>kSmg>`S9e$P$%d%ML^lII zn;ql2&$d&qHLKJp6VB+0&Z916Odeh3H@Z9P==zVNBVGBvgc<#7Ase=`bLQ4vCSk{S z(O$1I8U^>!)rM83?y0sF7pLl+Jaw+;S##y_)V8Bn&gq=FzHBb2Yh^u=*L41F(8*zS zf7peqmGnvVJgL!e1A}Cj=9f2%kJoB^Q{g{9s+ayDoR_apAa9H@@XFoRI*yLs7JV6% z_R-cvhz}E^w`sm{4u4$MHAK2!sM5NA2p#ZXIm%7Y>_diH)OQnFd002Pz)kv=VUye4 zY1_C-dt07)Mqbm|9=|R&ucrdGHXA1IRI06pR+@NcM1Pwvd3XjdL@tlbPif|Hbk-@SGw3=_wRWWX{%jcWXX`mQX@vV`?@xP z;X(D(w2!}OXZ}D%W{U5=7kH-Dx&CGOHQi*FTx9N*Z}iKIEp~4#I&M*er|1k)qQVO( zzhwyK)b2f9Q&|Udoz90o4XZ+jsOLM(ZMXYYm7+FfLei7(+age6; zlJcKMRqRlk*)`e0ITHx%TJtT?Hm-A8>B4ZD*0)8dpR_&m1sc&~tJNY~6{=GEMOuLH zN5IV1yZd5huz^?9(**g`Dpf^UH!3Ml>MHpMnl56BgO}4hFTSjPDQtVvzF*)~?S^?< zTtjptA(GeR{Rhq8D|>tmWL?YWKhlCeI4t|^gj=PCOV z+*9s+ZIe6|+5NJjy5K}hB|&jh^6Xgn$ohc5$V06~eEH^98%qMo zD!M0X1uCvt8^+ZY48k}5>+w6IRjB`TN1T>+rBvfGO!6p_l+-_I zL8^aEM!{x@SQA@WM->djY$3yRYe1k%@smLJm|wS7N(=9LQrJVD9@dEN{}6{omNfKb zH5(1iAxsIITQRoi4XdZPt*XIfM6UVMY=E+=P9LZ^gz&H6x#W;`=RAQ#`N8OGAx;*} zn~>VGw5Ee5PMohOmJX7`XU6hx$=$a zu~=j+Cm=1pyzacF(vc&0*0nHH?TKezb6a3Lp+%z#c8%vk&5|^@ z38^39^p*>}*L^q0w@>wwPTuN{NuxO7Vu#>T%*co;)03$7FS^*{AMV;LH1@h{+eSAX zWu>6^v$n;8!@qst`D%x8IYN*xQ!KO_Y9O!;iKw?I7yL_o9qwA&c@%BSxVzqAg=Bk+ zQy&L-)jMJ0R)Ot-1kl@l9qFdAjcQXzTJwb}R&Ri7J}xpQanOawpJH}Ioz;>(^Rq<5 zsLw0b+6P~8#j?CamME*h)#Q?@`l@9_2<(?S{dP z9s%wQKk?)9_ckR+h3~Bne{p7Gp5V$G+VG9fbO^l= zXqh@H8g#^Pj&)_k7Urc3F68m})+ysJM@T50Gh_9xG#?oV@BW+_(n zrqcQ_q8%R)0=}19-OAtFi(kHKo7y>>I3m#U?zuXdtZe=(`o%^rUni3kdN%eRiNAu| z{TLQGg82_9(9}S*%v8Wr`H zkF9+>{n8Tb!OliOZ%vSIJ9M+Eu7?puhQVxX;gQFZ{L6acx4fYD6*r_mMRP=lXsK$3iC#~r4SPg0s4jKq-)^Pq4A&|mfK=C<|4VxfsO35d{ zavp#0)gOq%$$xs+8AvuathLu0L8T6c-X#ju#HvP7$6L!{jt|5aN^+YPdnhzX9PM;D z`?$mpZiBfL8op&PnCRN=SX#lY$+LLUWhr5xg&r?Pslkf!T=Kjl9%~+DaUztkm=%nA zP`0Ow{CxCU3ryMp1y;itn=wHKIhiEg3zB)%B|B{!I-h+Jwq7$_5xQ5mb ztdbS-QkqF{b}=VKl~2*{m~!L!@z(@NW$skZHF&zV8YB0rF5^l*bdlH#wf^Dmtb*8p z)nmh`Gj_WqqvdFUOYpHIaM?o%-bRl^X$kBhzO%P5Tyu3T^tvI1)^Kdc)R8SJ6=*xY z4xeaOP?KsuYo{X6!!bUq#3H$LSO9AqaH-coiqU8IVs1)xUxd15mPtYg{4IV ztI8v30biQI8`4Kdyl)JSqFA}w2&=!yx4qsti>uXGC@F>E*z+7L0!@MGG8!wucX#5s z@IS`=_rX+Er{8Rro@s#UKppPC+G6&2-sBqObEbz`NaGUfma-X0I zwB0`mmale^lSJiX86sj7B5XT!Gb*~au#o%M*+o#oL<6P&1flljER&;C%@AS2&em#y zkNHih7k1#(UA?j%q^WNT)Z^to=244-KZm=UXY0m8LdD&0!|kLUHc}UYo%yuQCl#LxPvlJUO*xd(Hki`rJNwf81LV3|Lu!Js2#zHa92SkagEo~! z7{zvzQf#)PPaO}Wj%fL`tKHT}eP**o3*bfe>FE;Gf^Z%pJ5=5-lHkvH!1s77-L(>S zM-Ryq6X8+95w!S5dmdk+rh#1Qo74m*oBMn``6k-|u4w9Bey`+TBHF!9@%ULdb>XMQ zF-zaC&pcRl72TI{Dl?w#Cg&nhqt8V{?W?)Gdb+kW6$hHvGQXk_-O1DvK65MGN$vMtAeCQ?WlyN^d~QoZo%XG>oP-z^d)EF7!3 z3`9!fEp-Tj-#WawzYp)YFag5nk>R_;sdY7 z4ng!z{QoBUbB$CzruequqP+goZc;~*1!xM)SzZE$~#K6`o#XLkP&E2j{$ z$GRr#^Ru;AR)sOQ;nep!3>j&JhG`)`}5;B;4j(&J3 zg6Tal60P<}AXy2E5L3t-8ppeRS_d=bvcUAv4>uFV--V(I1Ksjv-vy&;PdN7Rk8<-I zABrxS_NP6b*+bp%gXnwedJPWBDO26SW#7#I)6ge%9^f*A|KJTQTUdT3k{4yZ6Io8l znL5bAt1Z^=RHS+{1usj@4EuZ?mf-V7m+)BW zNZGT>`&Ii%{!Ih{oN#qQf}D=6Zwe8B((Dx`b)i@%jWcP50Rj@G8o_fCrcIn0L+e^DgPTOb+3HXFv zznFFU0p9)Jmreob!Mo{1@MF*}%rEj|Si!{RTjGF2lcMVY+3Q|-nBb%xAyfvg=$ZyE z-P}c8Xke_nA`3`^uzDlSRQdQjvt4hW`JF9x^eT#ncFNX~v*S0wT30#u;P4;9&(`fM z&UHakYU;@{=MGquPVBKT0pi6b*BF!~WQ*KBWJ(E;aO*+lT<#*LXUN%QEyu+7+0mp* z-Y}khgNCMyY!p>|Mb8b)N3{FefxhEk6S9{F0kX*yDK2=bLwkc->I2e_dxf}X4yNZD~as{MPQkP#DKB%h>o1Hmu41jcs5gOb0KsU1;N^qyYzza#le zNB70AdA-=D4Fri{!#tb;{n3AB2*|X3eOuQM_+|j?Kg{UaI zI-aX7jLSFMwO%d~3pKux(wzXV(G+0(gg*->Au?Z57o~~Nau@n)m*D^=nGBK{QV2In zKA6Jo(x>+biT$64p8YSOpjvVDw)4i}q=0n#zfTf&vtUW;ck-(6H9t$G^Ljy{3OrXk zU~K8&Ft?+k%nV{=MoXS<*KC0mkf#VRLzbgkEK))8rfO^NuJivKL)>!=&iAT?J#qbp zzsrmsU)VY&IW39c&+=f3=yS-XBVjB?4st=(A8g%E>OOB2KR*bQ=|pP1?u1+4H;~s~ zT&n6YNCXp=cF7htSSf9Owck`e^e&vc-a!dGvGwQuJF^WtqgNGLs4FdqM7BYa#5K4^8yPBD>N|UB^|nXX zw8SV*^&WR>+yHC~5sKnm4ozLQ0rW3@VwIEoi_36$!y|e@l(JJFskm=q__U-HHmrTX za=Ci%tdW~*Gi>pTD!+u`D; z_W1}&<0|LgP500>sy<*rv+nSko?0U2D9Y8hmN8BAd|(;Y*(>k`FuXWIN^qZK9rAi^ za3Nta`m@~zQGDVJ(f>g+3iRO`x1KiqckDq06bw3nTrt}DE{!>ygi;}^76bToQA_B&~{ zFBj&}yGt@lTfoa0ddW9JW^u36vh!3QhQtT2zchJBH4jLc`MjG zkXHZ?OBWL~P(7?N`4{ym6dsYeB z^+Y|+u6p3+Ki_XjyHTI^Y~qeMRw~qp*#uo9a1>x8kZe5ueZquj%QQuBu=-(RZ=8dt z+vba!ZV!@t0*9ipGvDy`8px3XRb)Y1ME=;95Ym@$MIDCYM#$%7$yHg@;VZ<}w89PI@@Km3_zJ-+csWGVF@YsN>HMb;=(3j?q&{^cUWJebFz9?IPM zBu{wxNex`dW;<0tiy$)j8|7XJr7G=GC$(O?Jv3O)=S)ce$Jx7}VM_9X2LEuQ{*bI5 zfKNyx_4o^WMYD6d?DcX@XV$=V0s{yb&{0g6HFdE8t@T`B=vY z*Fk109-j$aWtX> zIE2VKfWn_9V3!QFP)5QV6*dY@BO`FT^J(yki!D6tRj@#*0~Xq^FvYTKENy(=jvJy( z_;Ye6Qf=8Ac@arpN6ha;U?gmsDno5UuRDbwS(+>{MgwAy@2>g?gRwq*ygonNP48jVHY zRq{viFnKfb6R=tWJiJB0OX4)LLQ)t=tgQwfod~1GMCzdDVg& z`9n9%9&ln!G`tG5qhavTXa?mXy&*-*~wSp3COl)uB>v<^w=M~hjg?H zV0FBHG{b*ThTkf*P5Z|Cq(4je|Exjn(@d<$2DM}Q2R?chv|{eKEA}BCl8*7#+tEXI zOVB+{YjgV=-3>Q6ezR?m`6bm6r-Ia)X(EuYt%Qmx##x(ZVzi|?KQ|hj+N+H`_B4qp zDP(%9L-Qrn!H-@K;B8kyuj9W-)7z-tUS_{R+dAblRafvoM0vI+GW{d7l2YG7$Sr|g zHQ)FdfJ_kM5k?lgT+}md7F#OYiKJ0h!7n^fEYg}5IzujM>Z0`6ZY`ePAk=#BzMerX zchf^6a9KfEad;nMO8j?+*q95I|9#3S87~6JJlG_2=M6v~QL4$mIsm-DP4RYuNc`q8 z#Jp$^SYRM`Z2B8(#xPuPu^1}4oC~MWpEM_wh?UB;{?80h{{ClXo%&01YIrE*@t^4O z1%GOqH~g`s3NrmP*7_Pnr!+(^2veajNUu>W_X>YKf`gmlKKgm(Zxqu;-@eb{ zRR(yE-hO3kh!XQ_`HqtqnIlo#NnSrXVpd&6P;BwQ+)YhFKMFC>@)SrV{(zj=27|2S zRC$6OCfEgDD=ONHEo~;)RuMyH@#1lC-n4ycBAml3q7E@$fBrcmGh)hW)O=}nG1M0Jwk zwFi*rFN0i#!&8+6qG-a{?RnEkg74>!p_^7wO70Dec3vG?6QV;1flM5)Nj*uGpBAW5 z)U%7USpST<_mL-xO2^;R_wtxP~QSi}PKKRm5Ug|#)WRGy2#fb}l zQKt9ku47`c*YneK_DG-RJ4}P70Bhd|jS??)a2K(m??x1Yp7@Ik>HOAHZlEXEAJBd) zi={g66WOl$*@ifkCd9*zd+h1`A>?~Hw9$=jx+*hEeB19|u$^Xc7R1!So|Yy+*}zY% z7?fjmHPfJ{5JCNVDa>^OnRB*|r`E@b{YN;)BnXa6XN>G?{jwG%FP#ebqe>0mSmWJ$6QQKq+phCw7 zSx4}!Y?E`AyI}Ug3PJYbx}|xV6k?lBAA5JS-kg6vmWe2lJR~GG;6BbfE{WCwC$BnE zIF3ls=3aT8Ba52jqJ zyv@HK!4@js(4#-Vaf5ITj3`}hzeB$dIn}C;v@+AFUi}C&s6{B>FHvAo#`gU;0{DEw zQ6G?r5|BZCW8oFpc$L9B|Vi9iA+kM*7ty4IKFryrVprg=wtcSn7bi zGm}3??LUHs%iiRsBsXh&b!~JE2I*KJzO(RM4JBC2L*S?OS<}+m6kR9b1-(CTH1`HV zq(≫OqKLS*~E_)*4yPmjX`y0?Hf9z%}OF>TTGns8G2FGq$7RA)7hL;y$*>&+DN*>1`cu+>=|rkP}Bxwo~&?h ziG+g1x}8_iDS4XIwLU-l@b-7TNC_?)@B;jbP@6FN}5H|Xa551|h~ zM++N^ES;nvuq~`iO!v)5m#({?N&42_;uAArsHvBukR-l=%l#sjt|6vo(x&LS0Z5d?1(UyZ zPzpoEy;p%5L@+TPbsl~!DS{+muWO+kNuSxFf=i%lfr6dqO?hjtYMQW^sGpkyd>~*S zDiP6s%B$6)j~+eIqs1edS(lpT9D<7{A~Y68$N`d3;+jXqkq*;%wbb+W^un9m zp`o=%L+o$T#!~o?>4LLiIKs`U?NHMxa)&_S-|bdkBvNb1=q5$s$x5>{bj%Rk97 zBhI2X?;cST9F`grb^A8L2wyD4ST?B=kK1q@Z&HQgL5i!Zo^id4MZ*HV`oUL}S@9(6 z!dw$USx51Yk1oADoZ!1%3WX_o>Iq*Kw%|yDc_t5$=xPltrq@LM^ipiMUzht6U8@}| z=vZz$Lqmm7n-(!zsxO$CRJHUWiS~+F!cwPSv2U;#a1|}}#@uJvcaY_8$oHvP0?HU7 z?9Z=3Xt~ralHa=)g5am8YFY+v$6F z{+I~VW2~IigE?THWsPJ)BNI#&Okt0JTA!jAa>Gmz=K5f0L|}vYND7cC4BN9&n89hO&wP z9q!vOGp6XTZz+`FeFZ6NT1OD3$Pb2YU-DBG>oXJqSnTbf%N(3_4K$kZ?R@STLA4mjTy^A%m3!1^(8*(Pzp%_3VAaSUAXtaMjHX8GpX)`S%Pi zTI&VCXveR;mN%Go<`rNHPLRFnOeFB1sPYf<(RT~iIzsPb_4O}`Sy(&h8rA(Je}f#d*9ALXOlCES z5a;FlKV^O6l$gF%6G3$wpJa!$u9%0`?WptcRXF&?W&>?!N#tu^{E4y$Ec2xrgv@8U z8Ki_n%_><=M=#SbQLnrdz+*54YH(!|Zxi?nXOnePuh3tU8pI@da=n8eQ%ArpK5EcH zjv#MGSMkbyfJ~rB(|uDp`U?So8tv0=;vGzywSjZ7M<~i+apxA1K~p+J`vpjy%r6`uv~5l(_gWn2cook=kzotfMcf?V-k7f zOPSq`-+=n$Tb6vdlu11>oZUpL$tH^qhqd<>AZ{v4%Eum$#PM%HAXuq{O3-<&0hQzt;zzv_D`~p2Ob=mD`P10SY`%BPLYRfN7!MG}(@QV%hT=mb20Ih;@F)Boq{mC9S^hs1$eDVIGLz%_;GuL)l zRpEqTDFjcb%JJzpbEJ2D-mnZMQ3uFMapLjzo8<8LTV^a+7s< zx_9UoBo}Sr8Jz(NyV)lqm-5{PRVXRxhN%XrgCse;X{m_$G zZfh7PEQ#r?7xE{bc#52GLAO92X+4o%RG*psPBSs zlt;244{*Gt4vKY@CPq&d;FsaV=nIG3eyK$jYU6w^L<l0reVL<9Ku*Eu z2PF0_Xg$;U{=y$J&bNnh-@3da_%00fkm;v4g*RJ^su0qTDMiS;WEsBRBJN4<7s63b0xTj@*=A%bB$EC3>sRux!%a! zh$59q%F8@;Sc;Gc{K?dIn$6;9mqKZ(gAjYek5G$ZsPT<1{N?}tGNtu8|C81Wux+7U zcEGt*AHf9=a#QnHOUV_>J&=OJIg+L&pkRe(4r(rdhq$7(ia zu-?JX@)6SPNYvtG4ru()zS`KprCMeZzAK}{5(7`X9f-mLzoDZ`(ADK{tV0WG1lB0M zv;L6wug63(BUsYD9pLgyp>kG@Je+6Y{NjILCoDry9G-GgZnE&MYA^UrRW-(VHn30- zO1wVAgnb_2*e387-oGKL&cy^p5-y7)dbqU3jPj%88ln{52YK9g!aejB50Kc^GyQ~b zN!X>f-OFd44Q!_mkZZE4LBY1>YfH88ig&We(t&-H;vT91JIA7Yd=mw|inP|1lafFm zDk%QgfO^V2B$w7QXNXx*yJJV?rLu1A-u!U=%RSgs2|rZK?HZ!i5O-2@z_l(5`<8dK z$qI5Kb&)7ZsIy4Q24~|&@UmkOIGfH;7B1$)T!OUreGmGoIL4m|aiSL3TBLsOsCL(R@bwqCLq zL-8M6PUV54RJ4%#q4Qrwjy0t+#|RVmar_ms8np(6C}Hb?&K7?`D7SC|YG=QI{4h0Xt<@1JMw>_a}VX%~9_&snd_@)|KE z;tXhfcD-YiZgt?oGJGW8of}%HIh#;rJFo}k~6mK$sYtf+$I2iqCgxe{-=9%I1Z%;c1XSwnZSOP^$a>0u1Fr57- zfPat0V3V~p8>q}VvQ`UGIOa(MGne-WJ#O5wUG-$u?p?@-{7?8W^)(iZ)xFe=F<5&R z2tD=xcsloRrvE?gZ`S&#k8Hw5F%uzX=1?JrS&F2LDC985sPuKtDeYiFXbK@|A|c6{ zq?%)rG^e6cbI7selvCpV{I2`H?!R|k`{#3dKVPrs^ZB@iQ0n~suRWt3A^ckouQqy5 z#M&;8xNLCJx~)XiJpLcrwDa z@HzT)wQQN?7-2H%J>0T?iPgv>+J=3kWGYMu^<;p*rZ*E3Pu(&sv>7H}wQOk$Ug|@} zye{9};o;BSS!GW;_g13{y^P;pf!JmH=Jj;{Yc;1`%oOZEDf@WtAh7cn20ZjD9k3Pe zLY}VFh}la8aXN3{x1@}O?^Wp1lA)&7w?>U0bpU`txSkZt$1CHl}HX8lcvxb!w6 z9xBMYG!9>@^Jvt&AB69F{a2vqxP09tp9z@G)Ub0r2iQ$(>mNkWZ@ll07M&O}G2?*(JKN(g}G$e9e$iWV>DiaNFdGicn)iGU6y;BvKnNq{? z%2BRkX!e8FsXysIQvV8_{}@frvm|8ne}5DOG3zUfDxRa+5VPJrO;ot|EXts$o+eaA zWyhg1(w*8Z&bm@bqm0M-;9!~)wQ8Cbz*67l05R*wv_Zn{YmIqLSdm^y?6y}C?D*1E zyyjVO*Ngzz^Op4t2B5`b75AzaT1;V^-mlUMGr;34j8?%NApgVwCH(mxMn>m4 zgM03pz~wnVb1(pYAi(YTSzUq3;;t(y+y6qXsP=eX3w$xZN-&$G3k&bTxR-3Xbkw}N zC~u`4(%2KjW z<6a3eH+lJP&SjkyNKr4Ws=^)6WjF^2Y$M<-s~3d~8=_&G&K4fh^Y}Ia zPPPHRbkBMG@imj6Vk}j&7|~3bHwnEE&1d;F&K_TE%BaNz?wgbS^R5jiY4yAU0w7i;#9Yw#4spqY`vt(WPy``>)Tw$uA@SL})nC5zDonU~29EyXs2sAbp^39 z@Bn-TLF=DZaXHpl;WI?f);d}0z4ruLt8`akYBhf_xsINZ1M~}twgf*`w>;+Q8pl|a zguUxB5O~Pi)2tr5`p33XY#%McP7Xoh9~a7i!dW$2o>%fn=cQ^B8#p<}Ay}~{;Ox{U zxugx4wFbxk99C3;ql5>e@ZG-{$MzTt!%;dzA@ch=Fi!F}!Sr~?xYDBE=yN6y*oj3y zK~o0k_iGl=AF9%n!3<(A-X0UuoDFDv+JR}P3>5V|#sULxCV--~j^-kC&}SdE!wPy| zl09;reS;XM7TNHJ8)T7)aUf=#-Bl5V{??6+`uvxnjK-o>uG3To;m=YhDB4;x%ojioWn|(wkJWjX=1guKeP&|?Mw5AE*!p|{ za>at!x7Go6yZj-yL&$mo;?fiXV`EI4-he^Iq}UdXTk+*`{*&(v^d&&A;G2l0$qwlI zj3X5&V6x!r@NEMShM{dGMkePKso?Dz4`$F_vJC#pjcU$0`iY&7!ua5lK+&6yb2$Xu zBu)a`cMy7IdDc*SDYAt*ZTB9Mz-xl3Hl*X`kCb0Ij{d3ESRG#oSw90I>jx;ORL7a; zA!L1CA)*^X)>n~wh^7-QLO?>D|N1#l%zN>i=fzZT$B!{&$QOb{Qz2-QhlxnA!%{aL zb=%yq83$)ULgg^>&=YJEArCb`3c`gY{iJ|iU_5<>iGoa=aXAR7i&1Vp`(~J_v#BY@2oW1)m?>ajuoAmX0O?_GsyFXAOj5gy(> ztYvp6^Wb@`#VYT|Cd~$O*yIV16^ah!kp`E!7rBUh$vLivH!&=wh6Fd2nY(;$WPXf zk(@MZAS&A#)v<4!qW$gB!^x5@aegA0a)`xVA`Ze^g9n)9$I+r%e4Q7bso-;&uCUslZ2hx{jYOvGF&q%I@s+xn^57?7v?GH1*X(9cX8%95UKahX8T(Luf>o0l0khHNP>&O+ zdZXBf?{FFVw%Cpff$&k`7yMEIO9gZ;+|h!tEY`@z8-F;540aLtm2;AZ;g3E;rm9Dv z?dI~%;ARHN>^;l&g)B`{2E;fdBF0ax3*C1Pft{VL5gfl1b<9<;L4LRjmp4?A*H|K3 zgTp2dz~b*1A^jO<-bI{_Z)hW_g;F#2f0^}9ch&Qc1-R9hj3Q>jv{PUW8h!aV({>{Ys*5E4n zH7XSRBZr2qutY%@ymJJnWeHw%e~~vf4z<1SZ4Cvl8E0^}sJPlQh)cJ9r#jlAy9Y+>Hcfn+#~7&ZZjFFmkRdrl0~DMzzs zpQ8)r*$HA>w1r=~@R+X}g-3!IKYd?tJf=XmJ!|aatB9i3S0re@?lJwYId?LGPhCfz zdAs$eZ{_!dsh-Yz#N|&dh!1YEB*!PXm?Hr&J4*w!^#7psSz+kGO_QDf+KS)bU%XD< zbuZk52kCb8Y^;4hN=tAM>ogQblOB{aTB4?7`DGnb%m+P z>Fj6*;v-1E92$5T4%|Qa)08GC-F)%lX8pT9R)xqGci<%_x8oIlri^{4W`S}viev+k z+Br@49(K4#krE;}vJkZ1tx>``pwa0)^4rlNWUQS=jJ_^b=wl@(Mc&z*6qH+BGC?^I zy;CbOU7yN_U}Qpz46_Tw)qg?q3UszGKg7*O64eIi@;}bL2ty@Dy|Q(E=%3jm7Z`wb8m{D7x4AwOOaiCxIP2>5Gmj-MkkfSL zVO`@$?OU7hyt&$o?^o&jZ-KMki?p^TQI%pk@`jHtTC>bv_!d!i4*e8s+nRt#jH>`- zsn=oS5VcYzvA&h?dcaqzv+YmtL zkp&IYmcaaT8j0aH06%ru#4O*Ld)1$nw= z9xrya#PXtg@R3%8x8LyV5B>*Ulk5tS4>N=47P;83fra25sOVdDgeflh9BrdY)Lp<9 zG%N_c5CJQAa|pR7!2VzyEm8+-UcN4MiAeNJBFD$tmAZ+I|S>Dkwwx>GxB>%p@ z7o^ND&5kkBH6z@vAAgXhETC+AM;wdkM_h2chQ6W+N=V4z)u-Ws>&)V|3yu0`LQT4g z z^lnz0Y(}26>y|K}B?J(wDt@)71gBnfOIWHy>x`jdQsnGkWo2Oa0%j{8W6-3aL5{Ph zX30Rn=sN1)<=2ATC3Y9J3mH(g1EX{l+xa94^VK#T7%=_9EO`T&`@m`{ZI}`s;h&3e z4ySG3Pe^<`i|h9cn>R7*6^%K+_~3!s`igRjzP?U0??v%Xli(;#g5g7K!@orJI6dQR&u1rUuWpH9el=G6(7PP+IM46><Gs)WIx_P3Ae3@$U zjbhQI_I%`oVsP$(ckD68M6*O{<9u`VU5W-6Yf@Ef$E9cAh&HB2X3=K z1-_h*NDvooVn_}dN2Yrbwz+>M3Ol^yDXM9tKJkEisJdjJqXEr8e{NEoi^?L$l@?4h z$-ewTfVl&vAO(m$)jY`1%{f>D+b)ESi3>mZK9YhxjjKWXC&@{9Vz9K9NhY}^eeQt# zD2wBpd!{!!S9colv2@Ci_Zv3NeDS1lSPWHvWQMYPKJvi3Ms{g&n83&j5b0k4Jh()T z@9Xd?FDL(Xs}xNx52ZQ|?=@RCsl=J;Wy%SUP@dPWAZwt9(tqLu&$YYMl8n@+YdHj=D!1 z@?i8e@f#-oD~Wq4G0a(qi8Zb>c8)L|yp7G1uc580055YFmHIab$$U`3(x|NEF8AK0 zk&wgLzpw*t)%;oK0D0O7rG~XZYY38eL^xA1-V7JyYtDwWTH*^Oqr$fiDu@37>{%eC zYy_xi6YBm|$1f|YEe}>4K}bHQiZ47TDXp+Yy#AT64XMIjfY22NJEN!tkUe9}mx?Bx zHuUj`M$a}e1zE4C)=vQkaee$Y2uSZRhOEt3uL2%w6xO>wI&N?2UHw*5k5fnBt`R59|Z z#5yxJ2OLgsVHYpBpo<@BSbosR9}DG9`PFc|8PD-ox8M#8Y`t?ebEU!)uz zbCAfdtfFauY=Dhj_TO7OEK`<$5n8#=ff+l_&(W;`yqzH(ifVoE6np(R-o{lJ9Ha1e zg>nIDdr~dYMYwzPsY>++si$}aP zbhDz+SNgFO2uKezQYkQQXI>+9zaj}QzTz8sl+*N1IQu;7Lf&0HTwmg@9;e>LHtl4| zm`A$_y4ax?z7L8*3$h(6aiG|@2)4+NM(}M`6m2*j)d$f;4A&`F@z@ftLqKB5M<$@? z++HGJ$}AZ0dfxz&SpxRX?;nR zkS5R7rOMmH+~8km{?EtXk#`gPgCA35&G&Vfa=pmw)CRLEsTB*`<}w< z+2lk!PzS$hM6;^jTD+UR`S;VqE>kOK|1)g}kH6TB7KoS~xkyg&vsZb3P}H1wQNHrU z<}B@O8?(4`8m7?j6K*;H57Kax*L?(qSodKKVpG2FDfx)9KmQkLwM^hcZK0l5(=pWC zkMP`ISGO{R2jDltKTv88kHet2(Z05Vt*(nMj%9CCOhK0%bqWo>W z%nrYCn2a!SRV3m27ZrP7#=}}!m4kF-o^}8}ZM!;5+Y7KwK0FLlxVfb)B?a^tXt}(! zfEXD&%Q5;aNnodUI@o$;5p3#1q}}+!IBw-8PbgTV?1)1i>ItJYq%Pw36@eC4)usM^ z0l@JgCwkFdtd8F5_q```lcw2Sd?!-=E%j7FKUUFRU6BPfyD4IAANRBUA8W*DzY?4# z1vX|TKj&!bfr(XJ;I2oQ(@~2c@~9Eb;SJkd9>bAz!_pJJ-yOSi7BCMOH=&OLCH!yT zsW&a~x?BBCx~=txJ9buKzlzlJ3GeJlbrWnYvjr2qW|U%5pOAP4SkXsolq}Xq2M1u7 zf#dKG)&oq!lTjg?KX~aRee#~SfP$SIDMfzdzJB#+bT)XVb}a2n`$383HBkH*`E<{nr_U~d4~kEW2~hBHld1gyZ>7kD`7 zIBCLOfgkYm&h0Q5B}Yg@ni(mpBGC;lTpmZ>{|~c|<$?VSk>az9QOqVpMv|zG(irGM zs0DN5!tulJq6i1)@fR)=vtO-Hq{iD+@JH9-z&dK10d?zri?lA`y*OjQkxW^p znGYj040XSgv115Ai^>2tuHC`h%wCpy>M<_Ad4$sS-}u3lMld^8J^1J*!z7VCKi7!t zTt$tE7}IteQ*HZ!UyWN=uWr7HenpcFkq6Tvi%Pwn<3bsr`T@sr_JuMxH@8s4>r9~y^8!~%V->wcPc z;rQcYsBKX{c{>>E@b9N|RYy%QJ)->G89>s8){X6@(2)2yVMpjcvR$2=k46u!3OX3| z^CRWave70`(~z}nsJzn#{MhMeo|Y@8lR#A2{hSl4BwE!S4|KW9N+o6*lI)h=f4zqT zZ9YxXcPU5nke}h(+b+u2M-s#EN;unqX)-Tr4r&$#lg9|=l6u(N>%U6xN)y96JaT3J zRr@>qAyio@i=uycfgZp9hogT~dr?z*b13NOUsjivj8A4NSTJ7(s>Fj!BddVOI8(vY zrEIE^r85qsI4nP-EdTB~tf$8U&ob=eys;>W{o_JkUT%;rio!VQlc46m-Gs3A@hzco z(km&4JlDAq4D>VuFulyA$Wl*jN-y8(o zKT}b*ze34=#|`NrKNy}?Zn^5W159EI*+1pSS%g2V#Q9BBmAa48q}&EhTjJEtEo^?$ z2S&$IA3{V;G#H5nXEWmwdBjgbY4a=k@XPazCtr=IA~@LU$F-`g=QIhZUV9BOpbJTl z&-Z?HvqW$zay1&Z!Cf-uYWuOf+e;DM+Hq|2(|_O^7k)5rh#2s0JA$?se+oTpkfRBO z^LCC`(sDjtga2X!iJkiN$ph!>Wd9yYAJ;&e_p^0WFkfjNSoC&R;d<(Ijy1T`tcAUl zuHh;Da?8T?s)i0NgwZW*kTI!&dggw~&Bi4FpQ^R>vbx|EsW1_ka;WE1JR0dHuAK52 z_(2|AE_VSsCScq1|Fy+w=w^=p=^dKaKw19LC@Tn&uTaA3fBsM8RJ%0T|EHDzv_Fa~ z^$di)yiSLS+SYP`gS`PJn1i6K_91LWn_~vKnwT+;#C}b!c5WcXXoS7v znLVC8i$L7WL7Lgl?Nu}T%(AGK#h>P}=V+T3b{+r1s#qoCE^j<(`u9Sqpec3@UQy-G z++y5}5_m!X(xZ*l_2>p9G{sQ~Oi@!MA5>4r4D-Mrt>5uQtFO67!c7FW28EYjb5ZMltzx;#`_&mAyR_#HwCya&uJiyu8RRfY6I=<}H?sCK7N zZjbC5BKR+?yA04fjcXT?l&h-smse%5ZTZIJbK?{-mj<4Xz+{lG5gfkBtFpg-v$qqo zhu6X=ko<$V6Ya(=2@}j-fA+<4he4e9CS|r) zmv9P5Ec`Cz@wSdS3N?1GXY zFq*$$cB=Nn^D~zaoolhC9bp`8jGTSg1PWh((s{Vs7~Q2u{l;)qd1oopZmo;Ue-~!5 zrxx(5szaZ?#V&Q5r^oIfSVqhk(=#6|{*Ohkz`!=W(#$_yfU;t%D8 z?{rhV8+Z&GApdX;uTBeSd_M(hrVb0Ki-0&;7cAj&9nCAB$bEth+-4SqmT^^CwAg|T zI||3sQPN293EW_QxVwh< zZHzCYA$i2$e>nK|_DqBrB$x^=tgz!{2&Qfj&HItuocE@QD-RdRY3Gb+KKn#1 z&v=0!Cwqq&ZwV7{aTX$5hX$XX)k9k(8S-HIT-!m)t;e6(2}NU+yOd~}mi8#4@|EjX z-=Xvfnr0Q^+m1yjokhlzjmZ0g-74}h#Y35Z;=K{p?jGYpX+xUhHI9k9{QW~4WapQ7 z?PnYGH2XNn?@sG-@jr20T@iyVIoQN5oR%HR$_*f{dVZiJo$CWWCIiHsSlu1!!dh#{ zOaGnscT9GM8Adc#3`gUO-+99cx9ZW>1DL`0+o9N}J*r`QQ0^`U`(o`ZSYcx%T)iNq zs*NMv(C7IdjD{RZme8Z(Vow#N^l;;z7jLe;M;GshkYh;_K?&p)B7!sPm-S?vs1$O+CxC_lfTUU0$udZKE&r1r@6v0gE)E^ z+^>(R-T99mQWGdo!(D^vjfQb1+y66u0QtS9<3K$TSB@8kh|hL1=VbtUO3K(x?SIK< z%OY|O--7OUTbokjbp?;SU&;awE`a&klF(4RE}i%gW6-Y4J-`Z*SGjbAf4?XU{cwSj z?gZ|u@Q0hXQ7VT1l5;QNQu%p^_>v5^(ts`=Udj$Q7=j;*GJ|n_8xdWepM{zq|DzAZ zm!r)s<>>Dkf%hHSiki}5m7Je6IiFesGy0bI?<=GjHs#ETg(9S3w(v- zE3bdVpYCmWo|BCMME3jU4q1o8(%X?uf^hd$lC=}wI~B+p)kAeKgW#M1c?nE7SHx0X z+Cp3dgT z8g}-=I5MP@upzplRpcjjlN7cGg4RbW1?JMmLusT0m&vJ;SER+i zX%?x<{vX0aPHE_pst_MD4}w#(od{9w0m>t*&kWLA4>yXijCn*$=&?fGAh$6)(DO_* zSs|Rby6p^bv11GjcOPI}IX1|Y_@+ymDuldp8w_eEW!GyUjRstE(^^LaZNF-J#b`mF zN7$=g7dgYcRf$t%wJ?GeB23QA8sMQ+>F38#CjHnh%=W-3@(a67-YOTBm~@GCWBB*8 zJTdb{(_*ByK}XU zy(eHI#niEl!Ktr9TQl_dt?ue7y2wPvEfNjT3o+V@nNAg>T;ptYL%%Iq4{KJk>2GsK@uRdY2 zR|c?XZ)BA-WhdQZHMVi`&bMSbI{DlpZX6*^Jea=HB_aotY@k`taxWXq(3KxYn50e< zN8aD_mWSrpDw)sGN{gWR+lWS!=ie`U`^aGTp8w1^lIui<_rO)WH~eC!eWLeXX^G-3 zOd$?-4}-EP_W`I!j2-CUVlF{P=AOX$?>T~@OlKmzn=6pDp&JLZkI#Yyr=KB^N5_OF ztL5&Te;M@6@Ju+*mkrqA1n@IQ))|Rcu(!3&w})E2{&d^SiWqkICeWe91V6&p88HV( zhy42&^aZ3$4clgSQK_l-Y?&VeFPGl!tU>2!hX`U&uKwcns6PB|Ffk_?bU01SxU|45 z_;re-UA7EC)qfC}4w|Rv35{SMy9gNK+-N*Chzx>6vA;{`sVizDawS#4JEf z_%`f#bGi<8{4d4p9B%H!=Ox75YFFWka{TWxh+F@eVhO$YA{8-TcZmb4vK7da_8;K~ zw5nkBcCPgB--XmtF;onH7__!4MBoaGk@}THe%kciuOW9`%jUA3RIXadkTEYY`waiW z9uKr4gMQ4BuZE8Pcp+;kQ+Q&6kpDK1=x?!JWZzSG9q7(O6<_m~w_Pyc_k|JacF$tR z&f1fAy+m222Qd2657*^A?7{4&4Z!#5+Ru^8iNQ~T7+vzHu)cN-pW4L`#Ejb&Dcl54 z*ctOPc!x`QuOLzP|9rE%CAD%$y= zpNhI?jF}v*ryk1O1T|&`66z*&QQK5KFg`4ep_E;@M7pESXW|aJ# zxd>|`v}Dll{Z{I9Zv+yIX!{2ZU@YvwFJtltDcs!78yW*uw`7Ium%sz#z@r?%21!iS z9AW%=wrZLC9EQ>tEyRyZoGOOle00_1bnC~3kj>13w}`{tTCV1-U8ww{@yNS&s|?Wt zzu?ktfYR3tP=c;;evi(@#yyF8%{3s-LlV$shx0BLh*a^5?#giL;sNi!y z4AAdSgH4_lfaY;o($xT5nAI{|>B6)eegjdv2@h37h572^?9UG}R3hFZEZL%p;_-4S z8}P#dle<IKukG&U|_l_A*5%nC- z4}?l5ammM>AxXK0<`{AkpzzZ27v^H?+~iyY|MUW^mlsA#dxY@r3>SQ?z8$;rfqRW(TJIBcR?2*P!bKo*(2;3Pu z0^^oCsU)7hnUkP2&%JF8^vFD7i`KTlMf1GfEJveZcDa7?uym+EfI3eb>w%XKMKYv< zWaf51#uy0C!P*`N$rtoaoGoevzH|xL4ut|s>zs>T*nP2s zpM|LP3JtiJ7=65a63SLAys^pNn7<+fzc^_piP2`NfBAxJ* znPYyU?7f`^#N~T41~(k>CuogB;aA-)&)~omkqJg=Stha(szeIcucF?rm2Kai1$|GU zJ}TSG=MY(%sJh2A@PzI1Imd?)Y8v=G(eiHhEAh4$WJq2dFi+>+`O)Cfe!w5awI3Tx?HGek zY&#r#5A}DZI5vzoJBDotq$6)FHQ>4Lu=@&!k-sg8`F}j2<-r7tyNeiX`QvmA?k0tgTY?RDBJ;?Q+PgM`7zPdbl4`eFR%n3fAH_rfpv~FzND7RJp|ST5gj!#=PJS`~v=x{5}PL z`KWC0w$%Vz{3v+8s2w5mVY~@nF-m##4aujS5-=fqa$80>a_9Gh;}&))G6r`z<4Y=55y$AX=wgj8)n{$iDJY z$~*OXb5cwrc3{sfgs);XI#Jq51^trKr-*8gHAKm{yKn;nbGv0jxW%f6w&&(dB1S(p zF~9T;871e`I6~_TUdbM!PGlR(bihaM>E7M_fW&=okm26XxN_GmcVE-%b0t&5mHgYo zLU+;~GbEr;Jfad^xCbK7d9Mjc>xJX*4Zjo^@?;8W>x6tEFQBa=1C;E`mWq!TRZp}# zB}Xd{ypZD)=#OXqbio5p5qg1r%*WFHTzd<3Q>i>roj2=1n?$HvMh82wv>kCq<~`HE z|1M+*?O+EUn1N*?T_@W+bOqC;a%it`x4A3RUn+4*m}Lav51# zMALtJxoncFhni8S+9&9E!&4*I&r>v&9x4 zPXeY7eZ8vHl&_H}e?(N*PZ!nnnCR(Ui7Ql^AbZtBbJc$}+1o54h!fxGw0Y!jm8S9U zOo!{vA(uuNUky<@O+u*Kgd=#pA1J2xHN0}e0^_}^zDF1&xi`jATu2|v0WYR3DX7;#IB{#uC^@86sK6|Uncp z1)_xtsrJI2Q_Q`Hmja)=a%G2L;MGA5Jk0~lXt+^Xd1UerEG`=%nLQ|_cVD)i9Jj`6 zf2KB=_@1I*dIW9Q=0ZJo3O84Ff|zfXD>{H?u{p;kkZRAI{8_<{E$ve;X;V9nP|vv4 zz!dXP?LWQ_AFp+oCnqxh;0az>_xeDSUU7Y%<{qfyDh@H;K8?J3_UmQe3avUC4)Q%p z!!+*12Tsv%dsI_vXOEygTpZ0;W{m{dr4Dl{7i4w+RbUJICWYXuLVV4JDZKQ5%#xZ= z*}|VyPUff1%Wy){8(1S$ZL&%CnqP=IUjgAZPJnAI;ZL@@93<{J9NjGj9;f;s0nO5+WMRymyAre zT4o2_jH2yWM5Rtae!3BM&K@E@ZUOWXpQpF=AgkS6kiKGu*v`CiZo7yvxn_+erS=Jq z*uBhM32BP!1+T1MsXN~fVU)O|^d5(D+CelbKdTiWe%tZQ?JkCDF$n)JAe4EKMRZd6 zJ%*^S)CfKpmAk77bR&*Y^g3q{JU^2`x9jfPegR)a8aUrJ@&A>E((m^hbC(6k$N5Mx zEhn;VKkG#DHENlYPdi1f%nzrdIzvD9qpt}fsnh8%qPcW&8S!r&avA={{5#v#ee4~! zL?Z|rJX-2M@<#@EfP$hXHt-oaY@K%yzWywV`RI2hBBn~vwXo?}=moBH%z?%65$G$K z*nvFgT+zvheAACAa{@XjnW)5MU3|@DTv%2x{zb_u_vU->vBr6S%z3Qs)GPFC(ks5D zul&oga5B-75NF+eJ@(52;MWE!C%nHNRkd|ZJ5d~(FEb6>3#$zijs|iFv(-4uv-$q4NcnFQ-IDh>&xYUjfvX*re3Gss+;6e1P z%txd>9cL<4F7kM8jy@f)4mXjGbvCt|I86rp>;%N0g5HNPVC?B7Kx&+aF8uO5L9e#G(vjV7gC+A$}=Lq-+GXj$+IP7~NxjoWq*vabqIirGQ(t;Ou% z&{js^%q;NKQ+Cql$)<+%;JE*ms6@vq@3S0P#AQ<6C5d&#ZjQMYtYND)38=Dq5OPrR z395;xcbldM>Q)PeyMypA`~n**#sV1P%ggZPI|jZcTPZ77WmUgwIHXRx`qmKk(WQr&OY!8QkF}Ivk)FU@t7QWPt@k0$9b9s znT|d|{W3l?=8>ev5hyt~l{Ec(P)(6-NGfk5qTOB!2;p+=dM}ABwj!d!UWVX8`d_4+ zB)0gbH`Zd%adNicIvM4fVXm)1)m+2_JVqyDonciZYD&9^sV{CpoW8q4IkASUO_?wt z+fE>VqcOJ+U8w!knb?i}ns*;dawlZeuE|UaRcl5R;)!2xJm>D{5Vh3J1c%ovr8yNX zOm@KnEIuw=ApIVtI-#7aae9>AoBfk%bCc}@Z7l{`+df$r4M zbwYdFE+CNK!z!mZPZpN5Z@Vqf2@2P872E=HFU6u_OTZrvnxOX4wZpfz)gH^cv%%Gq z29?a9imM9baj5PPD8Tip%m`^|VGX*=5Um-R0CX<|h6_x3l|;uf*v9P(IS7~REM!;R z2Fzi5M|s2{NeIKB7^y;b&8(q$Mc@#7^v-NDu8a33P0&4}}f5Y~kTzP|AV z3%E1nusA2WybkmnJ#Xw67rVyI_c8Pz_|(5m`xiWF53;qXxT{Oqa z)fY8YFu?BVC`U{e48zTG5$eDUW2fsn{1S>Nf;bCU?vK2L^jwqi-~IyIshR=o{+Nwa zwZF#gJQzmRV}qiW8ex!uZJf8*XexmcX?u!o%96&~m~QZ3b@;NaT(ts7Trbhtn+R)3 z&@*p>vZn}nwE8C*)mKAb?s!G*IINoHk&jq_p6#$@C;#ex#DlIb@N%00Nqz%{m;`L| z=jln&PQ(`YUxhckPftI2+G0|tk z8&&=YrHwECrjPytI_2M>miR_x8IGj41Pu|L=k$$8Fd{3oPP6lbbNg|R+)J=1QW`YD z@583mbG|>v#*{5F%Qbyo4VSzX#`whUxc#vOmWebUVXBOA?U}qWUuDulfuijv$N#c}m#ZbKS^i z!Rn^f3@r8jbAgsTimzLNOkSCzi%myx=^x-yVo{tkYxh-Z?ix?thOQF`=7>X$GhZU) zE51%ZZ(}?Hjhu2bJ~qEoz@0>Pmlju1zvq z%pjn#^PBn}TG%v93999FcK)uuvkBWN-iSy$sE3-}<&Q`9BZMe&{_+XN{3=~qmhe9^ zq);^t!9(J%$BaGf{&A1ZlW%3slRMi%+p9+07yXRg@7CcD)36N@m*Lq=QI*Q2%6iKc z@W8t+w)fo;auw52<(a!{+3AnWjtpHv;9f&v%rx`f*X!~vD6|7AzsSgcZzm=EZxqkX zaI$dBiBs{MbpN9+y0dc^uB;>uH1e=7J2AkvEX37)povPLIA&ydp36As;Zl2oZYz!6LV> zEp9XXi%7nNBQ=b(;+iO-)vd@>Z5&w?S7u_RVd`+)N#)&woO!Hk9HABv7dX*)*W_7c zb@Z4o%qG7uSaY%ql+1!kgWTPujz)2t&YLD4{KpD)V|ed$l3M9uBKol+DaAlqM;)(l zl5JkR(KMt9`u_T7a`iJJ93MuX+I7Cr!cun5yq8se_6H+w=MrnVqn;$`h|cSrAe)Fe z3FjR~<*Q173B@1b|H(~Io<#nHUGsKn1S8JMkQ!e?72+jC{{2eci57tFMKC?f1W4Y= zNa@y9vcoH?Tc$3pAXfeBL&zcIJxBgEi;Wdrzg}DQU*4uRN9L$JW!yL#~!5aE7yYd9Xsyz8I&KYRm;@+afj^fY%MA7}&oYSD_R46AKL5Aw%0PpI8iizQ5V)LE_E z;qG}5^JL8v{nRR({JJ_B#!|Lzun_(rYugM>Q8V8{;0gK_(56I{0W=aep>CXcHeP}pj>#PJPa?v3%aZX zQ_IH<#TMgHlK)~_I+cs3oqQh^8I`wBDog2>a#BB7toaz3lvkY14|u0AsNQ>ve)09g zJ>}=L_JQXp+Hq+aMV)GdXMJ}9?VU9HT5c6q{M{+#Zj@P=sLj5EOFegZs43k0sH_*aIw)UOVYQuf-p#hl$$Cxafw0Qo{5yYIo;C+RvT2Lf z^7S}ZFO{3-^I`q(wEf(Pbk&g7?S0}!+rwM;pDDFvURQKJecty>q_g#>FaxoIfJfci zt}E*Pi$7&wBGTKg!0hFy$qs)!*SS|rt$!3EY#f<6aZu>oS87Juq2NB{!TkpFr1#1; zNjbsCe<%+&DxcZ4A(sBBR8%u*E#{!#D~j?(queCpfOwW#x;93w8~H=BGjYY@P{+(2 zpBcxL7fnW*!K-&*G7soWQ6sE*1-%@?R?=vz+R*-fi$dMa_!7`ch_$I`)~hCyux3W< zl(hXYX_2oz|FK@J=UJJfo0?(yk?4kYW$!Y*-AC;QERG=q9$nO$HnXWRzCG(`eSTYM z`*}&L&WN!6Np{a~cZ)rUNsGv#O1&QOuzoq>hb}Ek%aasp+-Oms3sML%+Z5{vJiEDH z?w`g2_Su$l#g>)l)`Rv<g`JH`P-Or?&s(Ym_ z0juBl9}j)85n~r`)uA-67~y$Ni5ot`^H1JZ;`v(Z%<>MkX|pl&Xf3fuW%dE*a+6}^TX%dHPTD{Sbp6;n(#@;JU)P9juwKeaan~F4DDpC$TT}IVzPScRU>oGHoIM{n|&maNdmg;+{w?e)^R( zj2fn(EsZl~yia*r{Nx8^orEAZD>>@n_-a~j!><#MSr5tx*IK8tt<6jt6lxm-zDU)*zx!nSR&!ZT_01)k>fE^G|Z|n$T^UwHbG{?wQV> zCgrCsw_@rSEP{o?dbUU3KDPZ_TX(XWySL!PktMUQx0e-$#vhc8PHf<9uPaKL8)j-P zSa{z3knlX@qvVR|=!r6?b3R2SuJUU;ZkH*~`USNTb{J^N2OwKv=cw!|tC-H{ksoQ- zTRb-_ui!GQI?j~$WSU;@VU1bXJsy;^K4}%DI)8%y^nq#GjCrDxYEGQys-nnzes^a> zPvF}Jiu(SKK39}!(FrwW?;}QJv(*N7&7Uw^QSP=YtG$x%6+M#86JP6;)3kq|kTSZw zqhgnuj>$%h)HBJeX8%%TLZ6xL8#~uS>PSme`E8{rk+YPHzhG0j?_|X@6@}&p`6a(B zj`{pNk!0uMs~dQ>qj>v+#K}L3L+?s0!z9k`iJP|y%!(S(xo73s<|N&rwso`cGa*_r zFL*zze_hOe*dpI&aa+fjvVYpydTZ}<4d^Q6Z<5y)OG+T>?msh5R+{PxU)eiL`F8Wq zo$m}H_wUe%YBHy_2DSZ7NTV*s46T{jo_*z>yZ$1h`}+Uk>rKOiq{x9Q6P)SQ&z`fL7v1hA-0?!#-NVljB;$6&*sA2<0mkT$BACRz= zCvoUmA&Xdf#~1fo9SaydRB>@7dCOvvlLOEDnon8~@;l^z_*+ggwftHoimcs^GGgiP z{Ik10EOs6nc#X5ylBT)IAGVoEj4ZYiIOJ$(>e*#Xx?ZhZ+HuN)h|JHsq^qyyncXdR zP5Yg1R(IjH>_w)$K~)K}{i0>&&3AFbrnVh~ZNdKC=Xs%t1Jx787tUJR@XRq$9;M1s z(RPL!(>Tm-@awA0d3d#;d8(+|(r)9o;R^yD}A@mk<-0drNy|bOSB@(bB2@{spFG7uZ*O9<5(m;vZmmTgH}(vc|cd%e}4qCrlp02Rn0U84X#7AZvf)BH%Vpj}2%8JOM z4Yyk5l_SqR_itWsFg~$koa{FvC|q8W0AGx7Bg+@1ozge_7u!>@EOfiio0McDB4g96IP?N5@-gX4Bth=n(UU4cneDI5 zp@{*eJVS}Hnfrl@yFMQd+l9zS@@>Rl3YCbMAq8AwmU+CKi7-_+oO@v#ae8<}V6ALD z5gk9yU2blbzh3XNsPei|oARhz=r#Ig#|>G-+S+&NQHLzuQ-tMVIbRZwGK5ZwtzjQUw(N6Mj)^_0oXlkHEL*cJzuCy{7Dw4SfPR6 z1<8)C;wMhlN`_|hcJe<+t+8`nTk#7?4RMg?rxdFsSMo)Qx#a7LTTO=JAM#ihes?(` z+NRxnwA{kIPtelTq%g|$x}|@xJj8^*s@}QCjYN~pW`VUZmkZ-&-(>rBCgtrV z3T5otmW1RVeN~u@m8YGWG>ZP@@FBYJOo_M8OsC$hoJLxs-(K@D=I;MCf&{BJHZ4b0 zAAEdTbn{Q+17?+!%JV3xjix7AO4XTsYeHV(G6t>hOT&8cmFy@^||Fpp_zA z+FZt?=hWZ7ZP3&taEk03d?{5%8Cu~Kp{{3|#QoSwWS+@Lw$ZQso(ZZ3uZ40?3Y7mV zD#{rWH2iks`!(f{v&R3XUZ#E7F(MiE#4G1W#^$aRiJdu7VshCb?pU#G)UbT0l+T64 zBBA$69}~UBEI1{iBdLnt5q{brSZFQ(kq5(l>;;S5-S{$ z@{BI^oZ2lQh;P8V>uIN58i|xA%jmkLe>j?GBH>nJUg$b_&+v@b zQF)lqg3+0G4W#DZk?o=O^CAyzFOh}>Mb8Jdj>ya8{1xi2T0BEnIa5M9R*lb5D~@6Y zOxU-{-^j4KUwyYYs(ts853?lH`S+!#TE)Klwuh7dCWd2&Uxv5I{5ZL}X`M) zg;LCmIMfI1D({djKM)Nh+#tI0i}?#OL!O@5#-a~o-`4F!jQxr{q!X}8k#`b$oJ~Dk z$7wbkANpul03v_m`ix=b*A<`Kdy2NPf;&fJx&>bIw0;>n6l*!>QaNy|SPP%Kp2d43 zu+?g^EN&Gk(PW@zAzQr=nR=nbK>1IBM^EKzE6Z6SfF_R?@y<%^w05j1D zv6yp)SzYFweX?%X_~rcN1m-^`C9*0pE&aE=lm;LFNmkpz`SJ<`kt;D-n zi}vQ+5)nP;IeW@VW)UWU$0q^`&=HiENnd{b%J3$_BcJ z?+go_-DC)6bQN1&ymKLvi>z-CYu=6?b%m+*S zOoaT4YY@;khihww$JhBKD_T9wKW z8~aP<0TDTuEfb^&Owy|a1&t(gYuXzpn$evWI ziCbQ&akAezdj7Cs{psPzv7~Az$cP|$S%$YN-Pea=SZMk;w6M4apVL5fuE#sK@wm8- zea>EtxPW>tqP<|wG^?73w7eA*VO)K5$l}_`qqPG!ey)XT786VZBS?dZPOfabdU=em zrPk|G`PFa*E{CRy3*wjls6L*UlP@Hg)SK6Z_+>?i7gl6^DrrsV6|A^p5t@jX@oal# zE*~NKH&*Pgqz*@>r%}fR@sn-7ul}M9m_T7jlRZFaRR+VH?yT!|B z-7k$)?sL)p6&`aecx*G1wGu&|5imctcS*J|hHLC(I^9hhpEz+z`19}ZME~l{Z^xF-MwpnaP`&^@Qv42ZP`Dsk`03z6c+TO*q`#z4I){ zW2HoKzp|~dYU_U<9z3tw>Ye@h3Gzu-VQiavu7n`=T;BY}ZI;l-*Dx2z_%*9zF~^dB zpL!ANmqjVCEn6t4HNDy@n57oOMvwQzt1#2*ii(3RwA=;--d!Iw&K}q^e$FW`svapL zZt*da216dc5hrgSSu7K|+;K`%(N^GYH9kW+hV-z)qU&6uVL@Ste7MM48AadUB3Ird zTAn00n5GRR=C51L|7L0`Ta3OlJ@)Q^yHWP*osYf)773cs^0Zq;t)bBlN~wMEayzyw zq{#@&jN(wjyNR`a`OiQ0vo`6#@qJmWXPv2f@u|}_F-d|e2I$V>^{8diSfn8{v)5Q! zthV`5OFVPEA#*7zu{^(1<|?(i&HPHl%Km6gj|yibI5@0UTPLK z#Q%soHHnp2=}EJ_eH z%jnbSxocA2Kg5_-KPpK&*Y%u~_`qVWyjh?!@k``m!+9f|&Dnmrh^oZ)48Z zcrm_WV*A1+xKCS)t$&<~grSD+(zU|ce>^A8SZbNH3Unpi&vt6=6*1Y)vM?>J8IZc{ z5Ia{ObK$?BqoUWf<5Zy*0i;ry$o7DU&?Wg7L$=$wum1)~1+DF<9d0~1{zY~-CDb8) z`OeWNSDFw0i(fw5tJCvu%{kE6?)yJGp$^)pq>qaW4)`(iE})Z_9duCJ=YWk- zlujeQG0$xe(%CoB)Ga_Y#qI;gaGx$w$NA<`e>T4!0}Z0h=rX!2H?PO6d+ngpEZ(X8 zAGGQ4CYx}4?rD_zJJ#JZaFe6kFx3UiUqs`lIuxXAm>WP`)wh9p}^02Ch<&kMUhj^4t3G6yf5n1mktRNbc(t@1Rr<+fwH zkpy8Zg#0ZHDCf5-WhAk+C7YZNzO4r9+i%M7(QkV^lJC92WIzS?E%aR`Y#l?J|X#*iwJsjWxoq?EX0 zOp3gH!bhQ~Bzb{RYeR)Mq-$}7HPX)`h58L_%feFho;e%Iw+Qv1nq!`>)H*tF>!KIgYBzd|OTNo7D2s0NhJ34r1W%M|GdfAZB$=rpp1 z$RovPa_yHs!wJ3BrETbsZxhs({|Nb<>-0_=e+w5cT0&)VlzebO@gt? z3wSAyrcWk*bhc4pZheNrSIVvi3jpnZ?_vF&#{PKC$2zbs*%3vAGy8og77lbSs>)LZ z7C4Vu3N7@&vo_}#SAVQQZVj@2>9Qd}nV(b;bHZvsmz&k{;V+BXZ_fEkv3>;V z2V;!5zd%Z_gQx!c6@0`i!{D+wccq>yJSq^SeW(oU`F4lQE9^iEi-H!izF0e*{`+B# z(hC)9M*Es;FQ+r18EHG5x!XVhu>-(j`4}d97DIg>j!iEFFkFX#E>Z^-T{mPOUl<8o zcX~p<>7+wW`b+7YXW%1F{=>OE?t$J=;o~2zp}Cjrka=7-7)M2cxZ}e67qWJHm(P|s z9Njzj_))xs;aw%3AYqu5ikghl1HF%tqOr%bEY% zczrE1XnRG}UG8g)%jV$z>}As{Z1P0IPf65y4fx;(RWLhilB^-&%StbR>hMf)#z&pI zDU5zi{k>8FMGmYZl8#`o18k<>0OZ*927YBTWVm`QvQ$i)R(xGI+mqB(%yw0*{&o+u&Z4|g|Os{ z4^UeUAuu*CPm?Fo`>V=j{9yo#gVUDcQJ=VR8Vz`e?KQ4hPJ#{=9e zOv|ZPd)sqWc>Hx4CezeBWi)rn3KYk1&X6whMujtNiT+O|jJ(Y-q!|qiE%6=y^_Cj` zD_#)y_YExGw?O!H@$f1y1>fFdXu7dK;j=Tqzo-cxkqBf2W;UW^;sM@Q6hM7HId9(m zH7pgk3DmE^4o?N3?l$~@gM5ZEAf(V30(@rT^d)WSXMN0gSY;_y1YU)*^s zg1Dx8>iM08z~2Vl)WS~S^v*ggg-zRU83eR>K#ulV{6lla>aV^*9Oc&7sLyBv!cAKh z>O5$~g_P^UwEEuCcD>pPOC*+r8OmcqyH-$!?2=Ed4(;O>M6x5G>6LRJBf(RL9_7)gfw8Erx}t0`o+l_F4kyQv^x1}C53PbSWjUEFslnyb)$x3$W# z{#$^t(+0x#9mb&IOUPADAlz*%MESiIEpt{oT%`hp2_k9*mJn9LHOud}ryN=&@r_n% z&(!{(;{aMVgTZvJck%W(cDTfz{a{Np8KpJ_beFWB!yMDj6fOw#N6Yd$up;!JKsKxZ z^wGzU>)$#HXY!^ykUJXqxz`a~t_UU*LnNZTiCU5(wI`twc2;#UwF>#0F}&@@d744#O;GF$+<}IVVZ;tjA_YQFBszA(^5#ox2n}z#)P{^%W7cNogQNhR$bZ zW3E5~KB2ukD+v`&lKi&YIFF)Q+R-~1c2f4lsA??FQ*@pKM$P5SVZ=o-1>bcByh23; zPWn;LUpN@@@C(4Bvj(G&Qj1p&{=!lGQf>?5zNX*E)S6{>_~cA{xtE4DBX;!HagzkQr=Oac3MBpDjX{?c< zILTRH3=Z*5!H!$$m5P3bz2D~?P}U<~9SrfjYKtmZXUJ$_q7v^*y;XYxFh$0oY#vu0 z&u6@MhbO~2C~#85AH9{g@ZEjbQJeUAP(f~%zZ+?;Zjr%u_&>JbSr}E;v_v7A(bw#rZZB)HG)S1|xV}M{S?C&a(SuJ=)b@)}_QZ z7*gH_w~0Cr!r1&T%!I9m#Dmcb>7Yuv6~X%p;IAB*!IRy50op=;3@06 zj80E9DZx;j##W5GPPbChFSbK-Ds}5f(Owza<~|HV9^Jf+BRRp z#VctBw0Z+N;&kPDSD_xp<4tB(5=r6Vz^n7)8=A<^wn^9+G;Ml+_+AwmOjNRBM4v*G zyT0R(Mb?so-KEZbJ@~d`Ehe02{Do@ft6lwX3Rc1HrICCk=T^54S!tqCCS|zhI=E|2 z7FF*2ES1#-eSK+*63O=ma6}^Hm=Bsvl{9EV&iP(ODbP8g^xy7lph!b&Kp}X54yxc2LnD?~=SX+o&?=CsjE#nV2hH%nB zM6MlU5W2h}_p3mIoU9N;?wJF-d9(@H+px>GgCHutj3Xz4$SDQao}PW>Pqco42%Y&d z|Ki$T*z3Fi+?~3$%CmT8T**as7NBb8*mQ^e@x>K1PHH9CO-oq0Eg5;ww?+83Zuqgg(s%WhT%MpD zD{SOyw_#oyHH>_>a|CO-K*|GOoQvZJf`}lRG4u}gj1yCxU)HC7T91&;QZTUz0q&^< zVMiG-<$%Ux0&WY?emfTQkCOPZ+rc5|9ljCo4B`5*1M$;I5^EeTDNy(0&tUT2Um!6@ zW+^onB*R6oHQaAa`o$5@8IbX{Wr1vdYbXS_o-5$u{S^wA!Bn^3(NDMtq#&Dsne^)A zFNTBkOg)s!&8@Eud;ha0Jv{ibbTGE(P?~e-W1Fsw45XJ^sG0N!fC zk1714;N!=4$c1+x#=`(!{H75#*TKkg2yf0t zz(w80$VIE2V_1nqeZ~+Q&dI!$^^*z?huyC6mdZ_l%fcIRnx$mo8+1keZ~YT0aPG`; zN~SZqD{E8l18}fschmcZ1T81Yfv}(7R6q=9GC~aW#lj0ddUM1&|E1e~2kzRw!LVa_ zsKhGNyYRXPSJ{v+vFIJ#%PU*Zzolq;!X9iPMlYld5qkY$6ZdD%)U*axS}BmnCU8Xc zz_r$k9R{V8e{p6-z~Ig+&<&s3BxYeP%ViRD z&ss@e>N5q+Tl!$J<<#>OFtOAYHMhl4>k3*=4+H)t6h zF1iZ5xc8)~J{Uw91u)eA9E8OD{+}QG|7{^+uTG8CvH#8w_TNvHT}tGNo?85ZHeg$b zsx^N!%1ZKe@nsn%>M*BXOW}W0)90hWa&8^+6bvxBdpB6kirUv2^-ZfgwfLOr5RSs!f|I{N(sip_j= z&)kI+%>$f0J%G}84O;pU9ix#gNco%JVA2hMN9dIUwnC$iyQzVZ=vRkHGK16BHCYSW z#g0yMIIQ3r$Gt?rbe$2xV=hS)V^U*y+3Q@b@Ja14bW(rgZ7h!u>SXs{I>$%q$xzs} z#NWYXQy@1V1{I$|4R`N>ygogQf6=sxR|CQ6K^A_404~NlA~M~4-`hA6B$+wF-vs9L zLgK*K{N#LwJd+Rovp>Md{vk1(*@yHFJtk@VnY4?vJJ4c z2uTqiV&uF$4(fHngvZ<$;M`Bzjf!Z?- zxHlboO-VO>G2ZlC3@WM;J8u6#s!Iso$|mmEIy{mau}749RdYlsVO(u0`CVYhW$))0 zqUa8X)7=Su%|)p95oA}XFG^A27dTfA&8lr6hvY%c<9~oj${!(AUU)!K6X^V-flc`8 zGm8Ik$2@!;6!>m4m$C%+Ro_D8{0=_(YJ*W1h|YTSi=w1!Xm;_}@iZ483ELW+13@y$ zRGqo}RtnRt9h%Q(ZOJa9Obfx`1uMDq1%&van?x5sdvdp@)SJ3lJl7rJV5tLKL6_u+ z_Xf4x@rnNCAYi)+Am^*G<|)8@j26vU8tGm64^nmNj+71xnKvh*Eys5s7qwzVzn}*9 zwrG}Qd`Cw?A~4uw2hZ1R++*c9r?eN+H+vH>ct>S&t>Kvk!u;2hK&ps?r~D23q^l*c zJJ0IIQ>>>`^U~Qsd;X&cG3XTRw3D!zxu|5)U0rU=1w_}=?n|3a1dM9fkkRkj^tyG)te5Dh#}v@5vp0HDVCgTNH3T?~G{Jsc zS;7rfuXA**LM4QzLKI%`nLOr(pNaTqO8q{#hcljrhhhC77Q>+G?JgKxsDU20Lh;@XSwPzvmDLd32E>gxEa1k* z_N-86eJ*c`g0irfU_ecUfj3;ZJa$>(^xN+jP2i*GuC#1OD@YfON9R(*;W*LTLdR1E>0Arh3;~GJQbkt z^jaartcyfnUpXY6~FZV7^y)yUsr0PO&!Up}AH&SHM3L_gj zOvRDVTh)$e?CdKc7u6*M$yh=4lNCa%z(Zfis}ZX*1%11;^&rZ-4S#yIm%+QDPqPQa z=OO^3T01$vN&Sbh0F6VEdE8Ixs6=HSkqIXyZ!4HI-KV_5n3yMVPg0H+-EO)tz!&aV zPF=?Sr4)xRQ_W7#GE98B@UdJ=4`kwnKnaOLsK~AEW?$1lROi=*7jSJ(Kt!h>bWIJ1 z`rK@!CKVJy7m}IO1bI*Tt&<9UCp(}a-tEw99TmW2<`4bZNDMoa}m~|WUMN$*ge+=>~KRE#9+X}H(odFBdfIPkx zeVGS*va#hryqQF}k+VY7tsDt5Td`+9bf57I5=881g1lu{fu@5XzmVD}w+-uv_}@VK z^x8}8kS)KRDB=vopCyz5y%)AZHSV9m4;@2{s2~BNbOY|{axmZu=U0C%CYW4Fxk$hX5OK1}bq5ZWI)YLw)L$7*Vk=X^+98! z{k>5p5`T$NX(0DwHCKDnCn){Lw752)`8JX}6YYD5jR|kzqknuXEDo8aieR+qojc_C zNNaL-s$^;D+!MlT`d2UI`~W&(iz*im#uDu1aLrIPMLyY6GHl}WE>3oCgUqHsnT70e z;CiSg)6fLz1w82*de=jJ>wn%@(_QH{%>QFt*sq%+81{0vFBN>s+SG*ZiqaF%+&BD7 zxE}LPaOgFIF%)Ram^1A$HVEY>SgamJk-)btbB}CXGP7>BoA-|#brW3)$ zb|%PUrHu`l=WuHE<9Iy>bySIgB_~hfKXz$zyR6}CqT8T8rD&9jd&c=UHT-doj{M4Y zMwK5#E4q-jsB@JZ@0S_~Nep~ghNu3F5}T2|N!6Ve4!hw9xVE2_Tr^ItC%ZaIl@CmC z>I@)EUDnwGD0`x+3_L*)2`@iXO=g#1QR|d)9ENmzU7RLQgBK?HWXOC&aJr6Au0EXM z94K0AMtwyRBX`JiR?7;XG_Vmp!0_oIY6L0h{F!~;Bih()iJGY2BQk@6?s}}4RV!LO z9>IN4(d@Pq#w^Z?uHb?;xKu@pZqM~S&H|P4s=z$=#~f_?y0?h}t|9__6^@Zh{!M;N zoT0cop-WXt`R^ralf`TG^0<2_{9kWNwcx~21rv}WuLWFm$TpqYOmVr^gHK!^UF-U2 z0hY;=EChQ|qB9_EaI>v&UYoL#fxo2>lm-HNI zRR~Yn#ifnwCK20!&{b-f!u=+je2nXR_HniIKBNAF-Ze>!>0lAE#2ixqQ6Mw~aD6^I z61s-d><*xaTKkc$Q3z%C5x{iX4WwyJ1Sg&oHc?Xtq}v-_Tszh6&0+dd(GzQpV0b%( zdp=>D)3hx;O++WuU|cgz?n_-405=zQV3xNO^S;%=twIFg8tr-^5pegeCXigCm?x^m zh{$lt_&VfAmv81o4%bNJ3^7@-+kE^XY)t%VJ=&s+4bj5F zzS^z@Z_eDJq%Xm!(lgCiJ8<#pb0`<+OECEa>Zbc+-Pmm<g?-kq)UbBM+#E5H7ivG2U{3-hPC zLwR$*kewxcDI#g~HmaUq#R2p4&^G{)@RN0mPl6~TkLa?o078?2{zz4}fMS-?S#a=e z=~*!~PQjByP$z(lY@|ga4>(gN$;X!99IYL08y>=M`4> z>HCX|RGbwKJG$0fJ^JMW7h&h_xmUv=6FEVyQ@A6=xlqe*@(vg>wkN~)z>5{&)iC5# zYb(V0X)ou=edU+}eZA88eCXNup5Qwp51T%93I7fd2S~};z&a;9p-xFME4dd^dugAn z^c$K{T#8|`!FGusSoh2yvUKfAIX*JsRu3zLGwUN?=Pe)|urB$alIA;Fxpy7w>LllR zRpeYUhj1sfA8037fU6lFVbwKyec0c416RQ4&a;?vd`}G(xj0%NkK{jc7G-IYu~CX< zo>4(H;jO{n&TBA4{$RLMMj=xAkBM%DP$=#LA*NR5<(n}iDI*b(&dj3nzn#V5oiOw_ zY#@!uf<6~p%?{mWT-eWkU&LaYMKPXUGnBE9Xp@I*1tx2U!Fnw2t%_#a*su&_&{j}3 zMI=Xyv2_3DTtT`nZ9Y;bGm5wa@tgqbw_kqMe3lQ>*ui&yFOoEi(CCxP(h)IPAS=x6 z!G>=D8c1DuXO|D^@*AsV(G0%O$B&=rmwR)lcM6H%rA-BuWQIhFK=&X=38( zdW!3V6@03xTfwHsFro1_a#wTt%o3ksNE=*y*u(+OZ=-=I`5dRF)`fb0v;yhPTQ-^4 z?!)rgy0u1%Ug(q5o&Rnecq}wt*?XUVp#Jw~&c0qn{=o^_%cii|QqN75zHc)9e*+g4}=!yDvql`ue;91mU9RlJ(BkgKVIlrz5MepQD#+$Pnl`JaC7O*=W4 z(dKH)K%C-L#N>yfi(sd83|S!RE48$Cd` zvYWZGO@1_iNKoO?OJsRTl8IyH$oML%RvNY=%c;;672U(G3 zNe&(DKJ}g8h2jB7^2c>(auA(}4uH^g8DSq4m|QIA>O2t5)Fq&$5VbPrFlMkC?2Qgx z6rnFwESxvf>0oIfYjfQd~P~V zB95L^V0MMQo|s(|E|2{SNlo&joqq=vFL=--YOO`X3pG}Gyqkkc{WlY=O?$vG$%m$` zhJ&sIQ1sXW`Tg-~eo>1jQn0r$Ia@!-rN3;LI=VXgPE&SqEz!*dJ}|dSe%S^k9+lL1 zU9tRrZ>cei{W5v1mR#Ad>}XWq{&MnQY|0^Z_fCA!|2)+5h(B0;!&5Nr_u7THllA)E zRxtKDn@(BM^6N&&A;hut8iuD6pWK5mQ1iwxFm^KbC+-~>69a@&C-Ke%I4KQmvAC1L zd2cf~`SL_aRj?g*L>1jt9nCmb-S?yDTJ#|aI6HtFO#A^(Qqw@k&)YcS7GOJqIT6yb zfIqGTFVOMpEpSp078h-XNP^QLs_%3;qJvsuHTWYu(N@ae&{6OIg@`Qo(!M)DyV&F* z(H|4|m(iMU3$}bZ1WQe` zE){d*^L2Lbjr^Rx0lDCU@dld#)ofUY%CBawK%`mTI;F4-hChMi2q~l*v8B#^yf$R> zGCq=_Il5X~8IBDL17d$Xpx2A}vq~eu8!>*wo^eR@x4FZhS9{V2qkJwZ$ za9ZgvxoL(HZs7?Tx9~wdUHZTu)ul-g2Op#4_VABSAg;#t3Itk?;?2#6DN3ZB<_|0P zrM}l9+D{dtOLNxrE0rRltpK1-tYh})otxa_EN(+0T45e^@^CX}5bdn;sgf2q){2nnt8Xa0t(I=7JKr^~2 zw`NoV4xLc&eT3qRde1&HOY8*k)@c5u0SOe-mmv16o*>hP|5`f1$bIRLjdw%T&smA| zrtOlKE$X5Y?SQ*ZHejhb8|Qr%A+5G2YIv~)5f}QAa`n!*zB~a?W%C(>KWh_oD1b?p?Mj&o4D`?_3mZq^O273f zev7cm8NEHA`0Y4cTcO&~ivg_PS6J>))}ejA18G0GMX4%-#a5&Nn%cOJzIw}EB}bfP zF{G={F-95X7(#zlhSuE~JoBTo{_$6T8B*f3>{;0B&Q~w7&Uu)mgm0|F^>2mV@P6T3 zH(P)R#J~i^K2pP=Rj4AB-C)}nHUwfO`q-Sr@%9C%sM_t&Z|`;~)@RkNZqDPqm8$8r zul5+`OlWKpNccx=A(bMt-aAon7lNcZ;iOEY!PV)ol!ZUsp4D`_bU!H*@2_-|=b-9# za=_6hKkUNis+qJ>@K#~PkruQqp)?pI_OH+{->N||RO_%(nrC^vb{FH4G-Whs^TBV* zAM2lCA9lY@19?W7pl3G{42Xw!&B{y4A8{k#fhk7hw^nqU`uD*(+9hd&rKQ3U6ekHKmu|LF(+099p1VVtjO znrc-M16StR-&hLB6bKVsBEefT3rEb2>gW}R707eGSe6y0v^X7{7pCr=(c+fFphQw& zM{4!BWd}jCqDW8`T1n|B2hLsPJ2r|?ZePIF+9J+yy*qMCWrdz7aa^!~Qu71rZXpc(7@dadM~GL9gmSb6077 zt>VCM>_B@lD1Afk+P~Mid%d6cgT~zs{yn9hOMTcw62$H|d6GQ=b=Tka?F*$&UxOVH zdz{TS?>61o5l%YeNcka*Z))!$?8lj=>Sp1-^;gitk`A=r`%&St5ioP|3g^d=GF-)K zJt4XC2b~RnzH-_9{Dm^4Nryi)cegp|3sczak(I89Z~C-)?oO}_&pYyIl8)#5NZ8!< zP1rL7PfEy}-Qvy-ab0S#9zhdMBii-JJ`k>mdj$^`9>a;u;LQvC&lB>&pbvrjhtm>v zM}73_mh|5JdeWHHJ=OL5z08X@m8#-%Xv|OgMsM=n%_SQa65UAeH@i!&^voDjsN`6> z6)1H%_8lr*TC%jeQa`5xRPf*8ROhMX7kpKPnm5p6_K6#1PH>8x^vJtEN>yWeoj-dF z9-M4TurG)AwO2@;`iP8*wM8)+;vWUq&^>7NIJraMA!-?TsaN|yxLtZR!QiTgNSL?& zu#^KUmZWX;yz_{Hv!1I>TiEgvMy~KIkq#^-*EF@quW(GO9LI9%vhMC{;X07MhJ(RK z=?Th}Iz@sE6ux0s+eAtM6rLTq@Nv1x?4=^pG}c+Q|N?($pM_x5tYqv^&kxB2apF9oVN zT=sRmWLja6ya~LVbpv^+tA=KJ=U~qB|#`Ou*9gWMI;vA$e;LVPgGIE_fDGJ!LR=KkwNXL-t=sKEE|9#{+&22iv z2{ZTNG%||%HB3Pr!@Z!5tNcpkJHy9l*=^!&N93uPA`YGWb3_3D(zr%NEuMPDBLjM8I-*w_#Bf4PuKQV||$O zY}o|lTk7!v>3^u9VZCc7vbS{qyLrC3gIFVANh;fB$!$A>$$y%AOE*;5HYv6=aFO2 za}%?i!fmtZ{uNrfZqMi&NtweLOW4qq|7vp^{zvdR3a{s}#r9BixV?H(bhMV~D$wKZ z^}p~!Bb6DSyV&tlR+#cdy*ZrwwI567lFS$X5zhE#+_+`aH@yy=_uu5~mR&u|P#&nv zNF7H61i#C;o>ouRmpaST+Tj$9x4|Zo?U+VdJrIjtc8;@)^X2C)RKX~S%0$vMb6`?u ze}S=wra8kh?n9F2MrrZgAhKA4@WT3!Z3l0S6r+!-et8YnZ_Wf^F)ru56VRTF)gwJU z>{1vDBbS0Ex+0H@PypSb)g$pC7&5zT>Dy!*WlP)rW^s;jT9Lo=qa+I2So_{p(Gf2M$6TX;pBvP~vtG*4E%g<5O(z4xv#29b_4YunL@d{dwZ*s&gS+9%-Bh)D zFa29)x_RUg(5tl%wqaD$bbT1%B;E$=7np?4T~xp&SZj0dh>qVH`ila5yj*f*Fn!5x z$MM#ZbCErJ3o`khQkthdP@nenFUYeq8Hi5s-tjn(YSi&J>fYy{WW>4!T9`}e(4s4( zyElU#d|0Y@5gD)dLYO zK+kPT+|qH*04LRoVamhj7})c?!dZ!3$k33%AC3P$7O=wgdhLLn{c;AEj;K7#jT<+_j9drx{BqG8New*=65CXl5`Wi7cVg5E@e1vd36MXtIOn8!l{SUXBPOg3w*iKbC=?+=Mz0` z9bF{Mjq#tui*FLN=Ee)oO}GbkM$5k4O?xU|eB+DpP zch5OpsgpqOGyu=B)NwxhX+i3n=DrBkovmo-da<%|#-ODOf*m!+kK6O=5AqBe`k8W{ z2+yaE>E9RpXX4(UjZQu+atm=bHoZF}*2x#}+qk{l&G;Hj$MktOAM(M0o0gLCLf%nN z%KD{=(^B_B;(0bK1iizVLl?4Ylzl6&#;?5X7Q)tTn@0V9owwD(&2#odp@7U#JVpwy zxGkQx2%mjiKbI6#a$Gkfyz>3VL~Ggwa_sg`>0kd_TIGMm-hH5;@1F6*)o|cfB9z(X zT|!($M#4Q<%;iJopsq(8zMly-(ly6p)YNThSO52&&*Z+IR#&f{*xD)SYC`~#z4#oz*s2L4QCd@J zid(9#aqgCxkhk%E2HC>jrGt1b5cyDE^V(5{8mPACT=W~m$3N09URhxi9*>RBa$X7i zDDjLdQnL7JfB^EIWBSW+A%NW6sgSBvl=9Lg>*6(5pZ+&y*;=WzZoPf|lw%rqk@4Kf#AIZQRR z`tvAgxIZKKm&T)&DrpnmY?@E^d{V-T+K8*s?JFx&!1{Z7${DfD{i){!a?2!olN+z4 z;zR|~>c!y?$G8D6->8;1Kg{f&>#OhE=rMem9~yQG`rt&QQ?-sd!Tn<8z{Gtaon2K= zU#gDcre|TcIyI+@kM68qOT@Z}_Hs<#3^c!hwG7xg1wG2}O{uK`*;-G1PLa>LGGXks zE-_-J%k{B7;Tcao&@RF6R1dFpJ8*#JF5Aj*7N(d_M@fGDxX5b5I?~0((+cRf_ z?@!p*U;FYblG%32!p*9s*h9wQYTu!~%=RUFtABjCv!c!$ci$W2+z-Bdw&Vv}hm7zR zwe9q-+eo&X^=^h6B$atCu+-Diwf-Qw~swo66)0#e3RKcP|F)#_Qp-NS7JM-S>K$?e&K4lG@x4NQNEu= zc}7stykhtabw`9)3p+3EVx%IA%zGL2dvo$0QN7&O#bG3nPzb^v2dDRarV-BxZ+vs)dCrcVCXlsWl|*furtM0Q46JW{kFsxnkZ-;G zc~f4fcPZ&hzkTwX_N*F~1|x4`G%)f{8!UOvV}K0rPPN zazyadagw^5v21RTq_R$`M%Hx;9kvPJL+%b5Vi>k#KL;U3F;HuD~l55sgV@}35 zee*4I&OOu+-$-unlu!_7%u>s)bd?b zSL&Ocuc5uo+V{pwD(iv#O16N7?{cjqi%Li_WLr~TaUo;7bd015*WF?j3gWf3&a`SZ z2!HgO>k6B(>Q4G9+l8U@t9xfaAq$~{#{E)tmR2hH)b`jUi^tAaBkTftFP_nRq;SG5 zv2kgVcZc=W)N{+~KRtTI)-0EvOPqckWj%;`D4&oypOmwZZn|GTC;Vot5YY67BG*_K zYME}`UNZC$Q>1%}d#bRIu}m2Fm!{UnlGwd3vt11WyMlbhhVNPMyS$TRGIZQhvJL={ zDx~8-*VW4@Ki6nqH8Dzf^T*u!FZ9rLS-K~dwEjQkWCt65VO!a?A~xQYC0(UnbiAWa z%|C6lso|-flr!f_PYdU;N;SccFN~Ni&_W4j9L+aI8$1LZ;wO-vpM4N-ig=dnY5Eg9 z*kt)r+_A;%y6=oi1!CjpCzQvp$4H)^J`hG?@3!}B$x{=Pr1?^&^e=O~30z2&Z+J`3 zVt%#6T1w*)mrJb}SWmJ%xlD0!I{PKwuYf;Z}p=4Qy0)neVGOtHyxj~N|yWR)Y7HCy*a4y z^dl-ivu=-z?Hul2VtZ}#zALdWirZ(LD26_^<}4j*)uW!Z}tt~I?CPLbHHd01f_(uvTk)c?U(U-`1)RKQrNFTI}MkyHT?+7Vx%4uMa|GI2E~()^o{=0eqiPbqBSzbCHTg1 zS|M~`G@yG|KPanEO{ODMtUS1eV$~5%#|5^XdAT7PkaPEaFG*SJ(*-qRELu1h>8r7m zutI8T;Et3J4uP~Jl}Pk1O=btg`Ii)#yVlQD zb$iZUmk(K3zE0{dk0!E>HqsQH!>qcx!Dx?q)Udb{%FLCK$fAARgfL$Pw^9D+n^!k5 zna9|>p791HBtdF=COF465hslkC;!38czr zX)HT2m#B5oq6n=Ge7-I{j`d3tM_UvQMMEwBX2rXU1btjT=YFG9e%kAYv3}zB!WwBI zCVM=7|1@?s=*;*~*2S_KNpx|eGSS4pz*;HxzI{cm{gATj8;vR7)KJYoNsWI@Ds?X? zyL%XqR7G9`G2G~-es`kzjAchcx+dQLNYwxNE22z)VrX+U!gblZ#l632(=Bf#J*BK+ z&M~iE^dCA1%)|P9j<2IpUn0X;%f!Jn!_yy2{$$(;dndVmN5_dv_5#W5gK^){@xYA5 z0#`_r5vG_%xj zc52^I;{7H2%y9vWwA1g47tHUr<4!pIs!tM|sq#vKomo{Ti+mNzyXiNVP3S)PJ%RZh z2EV9K<%mFHS$`PO*XG0#7swYH$^$2Do}9mDdm%7j;MXJn>T{nNzsCJAYuCdUYsLPY zMj7Y!veFBJ&6(8mTc-__N}PY%Jhzb>dFHyUbvgDXv~lt%scTngtLm5E1EF0$xYYcm zuQHWgKFcTZ!8;PzPk)k&V@=a`4AT=OQu`UcFrOOeKv=+M)kjFYQf%9BZmZx0sX)MD z#n?ivD&u?!DbF7FwUcyCy=oxZa~AI$F~%*<*~T zq6Y`3KH##xCY1REgOZ05cs(O%JA5C$lcz7-W3=)|n=j#a|EK(W3;)L-wkodD^A2bm zuFwVW_;}0U6TkmML&59NrxmGClra*2R(EqKYG7EvXi0QT=X#AM!i#ub0SbmJ#dwqpYb3I1ti&OQga8bgSyft!tK zZeIh7E);!Yv9Wbb9HOf6)1x@W9)j{c5QJJP?C0(;e>jVJVE+=)xL18$rV*d3^HDg` zJeEnX!NPRpcDy~V66>A>m3C886^{g@b0`D`gz7BI2`!tgf@mW^YS|BfxGchuX9Zq9 zc@qHJ(v|c#^Y^MhH&Zy-pmdL)2%o+(^_=nk zxa=Xmh%ODq9>WoqYP_DwyAQw+?%(*vPp>{@if!mWezH%xaeFX92|mbTybAL7sKq#X z#}pv5rU+hxpdSZhpBQ5qbO~sq6k4qBo7SYG=w@7{wm>-of<5HCY#4DcwzBG>T{;+d zvLgQy<9(SekU1_NlY+5GosXh{&91~JxpVpvqYU`;Nhndx$C)!T%h3ey%U5KprD$o# z$7k6^QJ{jKgCAVoPauk`_uJI!-S(?jzrD@q^HE-7SUJqYR> zRyXtFz5RZ3Vx3;BPMiNsHkHr71jVEKG>Zl!+OGx_aCy#xGF)6U56-u7ZsS zZ|;B>nY3DKfAcX?QR@Jlop9%*{Z=Dg+Fmo6gP+ZC95<82G$D+RX< zLZU?s(eLofIH#GSSL*#W1955s`$O^Uv3Ru2Oz;=a;GBni@nLNundW0akE$j8w&n&( ze18;HVY|EQQ;Kdh3S%=AiLAx}_3`=)}12wx%%~1M) zDb%0FS_~am_AxMDaG(Uq@M3eby%zS#^_9&O!7-CsX8L@5y5vy*mN+GYN1Bf4m3Aj z#E6->9=`G&A+E1s=GirQOxh7sbq|;vJ`A!vFtw4)74_0ag_-G^AP>pQZw?6kcjIy$ z37%**x7%M50#)Bp-am^aGMDhBOyU(5crX7j7efaXkfz@ki0dPm;U9o65r43!`oR@F zIS}D*l?9o<6I82VFESNs4w?B3KURxYkZK7zgAM2}UDFjOB@o&ZkBL z7#31BOjep(1e$kfz{fwBIv%K5-~<>B(D`)**9JK+=}WPn1JX{(d-Yn1vJUtrdflBd zl2sq0gtg^N@3o9=0xlkZ2R7+=#tDu`Vy6xKX(=oEpB<2828mDsk=L-Fy5U5=8`UTP zU6FF_WeRKNB>00#0}B730iRvw#Dv=tnRMM%xyO?a*Lt)FE%(F2r^;>_L{;cvl3&I& zCqam+lei^@*ZkqDw^9rPjB#P4hF2>ETcU;nI2^3iAOG0=K)2vIQJwwYa#wZsZ~wIg?iB%3Y2|B0(osX&wDUH z@S9kY#GSNsGEA5uvg}U;kEX0bXUB`d$@pWCsuoA6q#~!#9t+EP#L$c_vLCjG0&YN) z2bLjLGTI;Cl|jIPH$mg`h=utZNMQaR>Q)-$sUxDX(NhimB+{jjHjQ>4hF>bR#m%or zql!KNPj~+St$1$d2QMVl`c{ME20tgPvJaB0vULf$Z$a$UvR$AZReu~HYNjg%?4;)b zFHE*$I-@PZa2pa6==e4MtOAtx6f*oM0RQ+fK?GR`l3H!YvH6)OZ(<%Dlh7F}Sh$p0 z%IQCC^||shDRLrSc1T&$Ysjv*GfLFZ*~>QEPv+bb*d6|t@L?oDxnq~5NL|4V5y<3! zNJ!drz;&Hq0{h;fidl6rUK|yP5R{4s;PQ6!OqMr<(`t_h(jiKTeLHngiV85zb2)l>>a&0$6b&kw%3ZT0#LZ!xC5V+niWEXBk zVX2LTS4Cw@nyHMMVeJpFt+*fPffFJMwX4YA6hbR`+8}1>j-W3r6Cg+WtRA%hs|4su z;yTR9D#+#gj|sfH5`5^@l28NaFUGr-(&z@)8fg%#0>Kvy>?>3f;OHI)3i-4iJwJ>l zJFkC({U)|Fi!X{2{Vf+Wd`SQn@6$cr1m21u#0!+QijKM`{azZ7% zd>r9+B~q7PrjqdT`S+lrrU1&!U&5nFQcnLMoITbcezlFxjr_vjgnP4W#}v5*br~U3 zToj7NMv>*WLW$Q$bsV`d4y zZwWB^a-8ykt9!-Rbn)+K#JiJzK+Ihvf5*_R4!i~eE#pfNcz}qOOGZvz7p?d#gpuAL z8JW8pTnzm~&hNW2$w+!nnEo1s<#Y+hn!5+&l;%RxgMf*JZg9M>g2_id1xrc4E7?{> zdiVhwIvDDZ_IYg=;A;nGxg@{28|dKG>%&J&ScV#yACs?1h+5up#YSBG5{E;n%QPPA zf2_U*ld?2Y)v$u z<%dvRuPghcJ={)Prly+Vmrn3k8}GKFf-r#N`NOqH<}PsIdmjUtb*yYAgcH<+QoIm@ z=HYi*mcb!ya^X@T>+x~ulZIRN8kN+%yhcJ!j{{C1yPlH`0KN7l9Wh4y36oK5N?Rmr zGChn$=V;K}c0hu^$(26;m{^^0J|A>!7y@;+ix;SAN6ef;hX8Cfexdz#s_CDfLOad4 z^M!t>^Uaj(kLqr!YwZ!1KZw5|1y72ZL|E&cH&vgE)8;&xq4Mnu-&;|VZdCdvg4|=$#!`4tzo z3p}rMLV48%cKjj?FI#hvd8=FvO-bqgP7E~qz%pp#%rrj461NN3*NXJc)IdbfHOsjq z%iW=KM`4ZKj}c`RZI`{_B`5`|vZ4c%tj84|J~}+lQhsKErV#Iw2vfFk#9Icbl{akY zlj-lU8|x6q1emw*lBk!oTD^5=T+$FR_}0IdUm0wiR(PR{K?N7QF-on-Dkk9kb2kNw z=PW3zgU2X$RZctpo^D>2oOv&T>tu`iU9W)U zEY|SL#%yfCKJ>lw@?ZU5h^SWjal*9XE@SAf`0hz81=${76V@0NTkV&rlmzB@HMyN$ zm?c8pjl!NehIoV)D1(q=9w$Ju2i6d^0q$4_vop`@*J<*(RSv*2%}WuMHTDkFOC#ja zejyyb2<8>xkY=zqvRS}*6cg$MekW?}GT5?llDJj)1BA&JjDM04;06BxRxW}BlOa=+ zI*6j;NGH5>1K!~^qG*aQzD7kimzE6r|0!TH)a01wWn$luD%Pfxdvh9N&?4~&4L4NP2yCn z5ItVs(YgKzEh#?Ep8YZhuPyc4QgFkEVrmiB5JS2f>OP@k#7l!Cpi2&Ev>HfbFh^)( zJmk>^V&U1*YobO)Y+G!Vyr!a8zyvvs099Fk9{)1@L?5_Z2FntTd`rjFis8;9nth{) z6FQ2a=w&3%^a7=KPEN*s(ZLH8Z9un0T)L=WqV-Mj%;nZ^Kl`9XNWO?G77mGQ{xmns z_q154vG`XTV6T2)SmQpFKNnhdZAam!Yc0y&c88>Tz!Dbe#D54{PoE^-sH-QobrY|S zEs%5SleDkSDj_XThtS$TJEZl#X`yi(kl)SKqdhK2G(yuMI{N+KDy2GT@C|vYi-i!Y z;Y+^?@gq_O4H(|LOS(8fHjz~5jNiV^-I}lYDzUy}jd0I*9e~^iZDRc^Ry@9Wr)gB% zm+5WRRqs8VwI~QMyen~p{QN!f^yx!Y+{NlT}!DvR+#E_m#qx8t6}RJ$ccLFfqB02wp*VNPXESvRPMx z$*VDxy0}8l<7n)Yq*%bUn{g}yP(H9iPDMAgP^zYY;TF}HIE@yd0oaNoQg6AfVXk}_ z0|`2$O}rM(seumk=ZgkvcYz-diKe-WR#>LNBbr15OWTU8kWWl!7%0Gl3=Fdzy(|uZh|CjG&N9qJDFXBiPB{d!okr@g3U972 zfG)Pda~`e$+!zw08BM5f*=2~`&l_-!^ALT|8Z3B+@ivUVi*`KM|DJUCEa(50NO(6= z^0jV9zP_Gz<}pY%{+2=5hqWgh#x%Lj&`9S^pWh^}q!1M3?6(U|O*2jc(7vfP;EQNA z>ZvVE{6q!bO;clr%e&>chGYxrH-cHOXcQS8|4LISvPixW583BmNgU~EC z|1_|oSBW+vRI^YawDL}y20e!2xHOfvoClg;T8CUboVeF3ub%m0l~9a4z}z_?65MxL zPR)U@oKsWP51}M>eoFGj{L}3u#5)GoEs?^j+lg}5zW_@=Mi2xq`%||%!J<^PGeyn# zjU<>;F9Pj)6Pu;-9ro3>p$(@8xs=#VD);^c@;Mw~NwtBL;IfeI&yK*xKd2%(UfTFM z#YBexfRd`5OkpRqi$Mn^u0n5t>?k#xjda;H*!6;zvQ_@^ytxr|7^K#D|Pl))z|+>EbK zWM;~Zmo?BMPFV?&JO^@TZLL6t7>K`AtdQ}ip~LRiDc`}WNF0-__vGk=a**&+3Hbc- zETG|Y2=>v9Fbd|JT;ldmjWi<}zJqunh!E?<1*Rvd)0`KT6&G@%4MGQn2tE$Tq2s-* z2PsQ%OLLLsZGQh52Cdyk*293m6WZGb%2$a2Oeew!#llpquJyr{ZirjY3Rq1Zyf~46V{fLTRpx% z$R%ZgTocJaB-8eRnp0r~ym4S*o^%9rn|jg2kM^2Tf%aU8+{I5~ac4sudZ?RVKGrV@ zZx}ET$AD<EWaWs z-K(Sl8->91Fu=3%34G`plvrj{amV7V@#TL+p&Cafo9ebFJdhK$slQ5p&hEOmEAqtV zZhnk+minVS`}kC=*@1iezlUZAJ3)9@-W6Zi*Ql2<25_z=WMB7A?3QQ~4|Fde5tQdW zNG`=WF0(EG8==u40!%1^(ItCgYtOD?I$o+-CV&w7jt;0Zj))RJkwE$nnxV!9@hfL3 zfO&-FI#{v%Tr?J@eN0+wPCTum*i!>}`kBFz!DG3Von*w-aQGR0V==WrOGLRuzToHH zRgm6z5=73AgI>zmCd20RI}bo9KEftzJ+^5giH(^38InGHo8btKyy&Ebd6oIM1UnqyLRYQgseHa)CeCc`8SgqE|TA2P>R%@Y>J-_yJ_!vQWMKV#Syz$OSk^ znZ^U~>6~_AKV~0jdwYqPQ`9PprWQ|a@I30qz}$7Zvz!CrGnm={Q^(#?tvESL*m>8IC!rngD-}D0+j0B z0r-$~==uwPih43RQas%hmc7?n(ryfbhp1aXs{_(a@{WE49Dz%%r@On!CC*?VojU?> z>=S6VN@ZL107qb>FjTH71=6fFigXCt+uGln|If~-_%6D1@v^+L&*8O?kL_AUwu`g> zJsk;d@p&RQ@IvJMMbd?tj=X6M`|Xbxn0Q~fQ!Aks8)e%P8`xBb|%ljtg?ny)^Z!uKtl@sP-lV}BHTuw&D8zQ9X4qb3t z)#n(83OaRw0Qc!+L#l$I@dp<71_cxBBt7dqB(XLh;!&nhlO@7mmk;tzrm+ZQBE&D& z0)_Ln5NY2_flvroXw=w3#G5+K8eU1b7o6hQ=W-Q|%tr<+CT8`XN zds!kw`j2SrZ$O>7EW*korCuI32DQ6^#PdX9Edz-k(t>DK-FA2bs8EGB+*iip2`DY> zkR`9n@41pWKCUi=gDPJpiZ&LaDjDd`2sCpBG6W?fMBj}10{kTl&`<-4B-x58@c}70LS%*Jk=LBU#X34+qngbW{rS4f{mz_a zc4;OL98Gp!UervBY}0SS_6G|0$X46YC7Bg$+`QUY$72ZYc)hoIpXW&lGsxtO9iZzP zr0<9!J@ro%`U38t-`*0B;i#{3*ZT;TgWI4d5i-p~iWGxNiqt6~rp7x4C0$cjRcn%L zF;`A2pm4P)!Pi=J`BO;oMMeIPODq*1;OvdMhzN;zXs@Yn1^)rm>@b7rQk?aJKLhMn zlQk$Dp8kQZGnmDminc3rC&mp6|NTY>ql1?2t2jSBoa;w;wrK2h<^CgS>>P`+k1nq9 z6yF%w5&eAtAFJ^p78?9w;nU_*HS<>VQdR5uwn}&!Y>yuQrbFjg`U4i!|EOqaeu4g= z7c|ec4E{3e%IMYYX8IcIGypYSB(GO_2+lrmJopcI_$su|qdee2|2oXi&lZyBpA1$J zI|2ly{eiOQt+uu#@j&JDmqD7Vm9X(Sp_zym>Y3MdI2ROg{q>v$n~-2`h)$Xda*BZ$ zSQ&)?EWg!rexs3b)n&p8y+@ONgWOrZ!-ip)}XUwP&=8B{Uf73I5p5ZA@FL4E$Zhf1l z6Gh+zQ?~@B6!4+Dqc|+x?R`zUkes^kl!${fIhZIeABp;yG=UTQN_AkpCo_?8z`@O}j<-d6@^o~-~6_C#R!|A=I>G~+h=tYGP4dz zK*4%Kupg_snaC#K33Ntt5Smmzef@zI4RF12k`#Ws8XB0vmuR#H2t-%5CBHS(VBIBO z3llzQs{H$5^Cew3iNGof-ZEQs=t6*TCALyzncsRzAFJoRY;bFTV& z^vv`!EIq#-HL<{AU@eMn{Cz!6VicerG7dzfDN>&%gTK6pZNn@g9q_F*CY>2EA=dr~ z1wz#rf~_N#;;Vpu6rJ4ka!x;N#Mh*59n|YTYB6~A1Gq91N*XVL7Qqno0Xzbj-XOND z2N`>l56j?DlzH1mDgsBeH5DQiDI)>O$}=zw*$-tKg>C#w{y7766Lvr=X@=nmE8}Q) z8u-Xj=JvwAauHXR_QX>KtRW>J#EP1Spcf^kS6 z!|}!LXDU?r0UuR!6C`+Lfh1?_#Q)L&s^53rfzTJ*_^tmUq}h-WB!4c_rCVCf=Jx^- ze?jpj`9T?iT8-E(+5+?GR*P*qWrZmFg4mvdx^cf*ZryUMunLY-Nrt4&dLRo~8tdGe zwM0w^2;ZU!d~~ai-?e>UZ)Oli?-`s~RV!`9^&Q2_Di*a6&v!=tB19;~Q#9r51b}xk z1Y5D07dPC!44(G-kU%A?)f1ZWE4olQ*9m7PCMafc6JyczR`9%tyaRRj3u!QXn{@RL zz;K54eW~*T`9eZV#9`M*MW@)U?fZ|NoBzx$A{1NyGjvzhX}Z;O!ELhq&E;&@Z-~37 znel4WtH_(SO`eCoGS}UNE7nUCaUO9Du&4o#d#y>p{+dh3PEnMJAYPmx!BRcbs^^;AHgeb!-(C)@UCnjru8P{!0PcoD_zCm>J>^-%3 zSR|3<5VVm5x{=ZYE6uOxbZP^W%eN7X3{JYKlgV4rWr3!dL{3Bm-!b^F_Bru%hKZF~ zJ5cJwAB=dj2R8e0AcgZrC1uD<(W@V%{BQ;kX?skj-h7V{JRxu+^8DVnO_gzkMr0{c zs~Bii-a_>hrW2~;%U9u$j;PYQ8OQaz1*lt#DpOe_mHYv`da8r|lHEcZw+%0NodT5` zubTy4x|7^d?E*=;G^%2F#)&mFM?NjgLKv0X0k$Ro1le+Y00w&nQOe5wu+*0d)aG5L z@pQ<9AQh%`Nr)dUuU4PC1%y6>I?Re7Nbc_dei1~8K0cdQj8t5!Y9h}IXilCT;gqpR zg~z=UF3a0TKnd@#w!)inPXccpu*=t@hF%|I)OB3}ucqb+-I=whVTKQziibhl>p)8P zP)uAw7xe5en>03Q1rph~r3WB00viSi)0Xz}Pf{%G7A@|B~8x?pSp;6TWTR(itK0@fSP3 zvwI?Yw6SMc7^MgUcPxf+s_pkIAk78Tz-O`sesTGQZ*YweY&t=utT>QWtps z!LR{I%KHwuf^{$bx7>I|6sA*$-H~k!{_-yFSs$QsegIv$E2MU}#?=Vfa z3YMks@ZnzX(Yul2zE<3jZoe{wA=^*H7}h>*u!^C_cn;1Irb1owD>1xFyy{G@4OrU^ zgs;{eEX2qOGv?Kys^i=hqQl3|P;y`0j26GHu?+-_lDQr!&Q!|^xb3`gDS%K*qu*W{ zfPtkIdD+J>c0U03D`!|jrQ!95DT){)8xhu&_C1y+b1e*#6B6*Yl*o5-vy(jclG~mvl4cAA|7e0iBfE``e ztcl?Afh>Lbn;>8fB2EP54qw1ne+A@?`r@Sf;1S^;d@)(mZ;9Lo#+WBdZ*h8wwHXR-=IGoS-vD5{OZFvtXgbQp$1D2eCw?+2T?wnJ zu}t|IoL&)rUTzCu7zNDrIR{iO@&i~1)=tYx3&>es24(TOVlNG7qAVW5x{|7(r~iT^ zP$$&clX|0Xu8nFVBSoSZk4Mldp2m+Gd8g#@e6sKndNpF*_|5HKwZX(Y+#x8IjasJM z0iBu69N$;`7bo`PlD$pR!GA|^S!@~ z+hbzu=YZz+d(b|)pTmO4f?$KnNky8DFYysC9A8o{O0`a27Z7NmREMl%9vAwyHI5t; zbU5Fux%Q$(Oh(a&_%Idt`iu*dS~NgpEegT9@CMN)89bipK4?!R?2^Wasu6*om{QPK{~>inCPFMn02&o6rO2-37l)MP2t}GhYx+irL8V}M-Gqz3sI?^rU5O`&bRHNUOpm5tiO(_VI zm}iGe&kAaDS%tB`6kg8pnxr$j_uk+xV1xS#M6gHP&p<|tBS-o)9Q{op5Z%z$Y=^j+ zV-W;?f=Karhq|L0!*uPo0%d{WAE)JOP{GKxKi&EZHK9N_?=U0c*R1{P>>79p^SNQV9)AopO4tU=FObYcj}p4ahZtGsm?+?#3 zgsqS_pIalkbKcPge*N)c;u|CM7Piu=qYXTP2s!!NDHGW9PMp0C^bC{c zILH4LUq`sKvK||aDYnP{XXqc1Yj3~f3w6sa;QZvkBD~1{VE}!GrGetvN#0id1OnU| zC0|jzfLFQdK-m;ij34hL2WKkeRQ@5>HZ$dxsE00&fHO6qT0;9qyDQ#{EFLKe^*;4@ zxo=Bgt8}C#!O?3=6Ff6Ndb?q>Y#e2`sXxfBo?<;qh*Nq;le3K_wk5+>G9A6j-qGay zwlRiRzK}3=UjZar8;n}JLi|pHc)Df?D$cbQL*%%CtEjX?U))C++HLk~_Vy$Gut1QF zE5Nc+uuDY}Xgu*LY?MzCrE(LXxfCu&dp-`cJ%Z}ISGR%hkjmuLI?+KC<>+A0KskpD z|0q(&YmWrnB;4B8|51SmlpW#-eW0z5?uf)!=~klb!f$aZTlfcBGTP?f(l2fcz$0o$ zkTzUeoVs~qc&QOUYUy3zSu2F{gV(U7;(A;*4H#!u!V62^kH9w%sDbsZ2 z3BX(NXpmGh2fxa19zxLqpr^VLQ#|WHP2Pl!chqxoHvkg9BF|sHso2A{f*1qH;U(KS zc4ev%@urT5F?o43u^WoBXXv4QIZRyjj(1N9h8CO&!rmW0VUZMJz28W8bVQbO1yQ`O zC~(@+5@hFn(YarVr`Th#MplzxHC5=fHw#elJmlDXBU4H+;AP<|#@>E}Y_&xDK0y6% zFY$TrL2W=y%!>`A-*>NLMIpb|3s2pxvKOztklnsba=$n>Mwa`v6P=;7(t@24NqwPU`Lc^_#sNRm!C?2?h;)hn39DGv#9h_3Lm8e{bTeA2hNE`$ z@5%C=DWU;vvwj1)SHcQpc3=dgBK36$)=Xm?qYitAWm%=9|J>t`Rcz$IBs6s-4QGrZ$@d_&|Qav#C$EG zhRi?o`CJXUC_$K>RGTzelwwW)9fRF79HH@Oq{HKN`+;aXwwOuFio6DPwHL- zK}Cb}?As_B6`EFALu}vJ^F8tsF_{-Sm^|3}4}kK2LFE50u6*~3&*5JaBk$fA=JTC$ z|6tN{bSr58%fPzlB4!GK)o8~=F_FabL1;%MY_@m>WF!^>=!gmwdwm+2y-Y}3bx51W zJ9F}n;d0wR!m|Z1p)~|u;($;vuMf6^QpLi=26CW<^6UsSMsAZWLYQ78u5Kt5CYHAi z=3|be*tNmvs3X!>yjl@ZBW*zNE+JWKv+m*EL;Q!)w-P6!+H?Cd)c)o7F{N@}-tR*+ zYI`h3_5hrBzr#RT9{+e&AI^ z&2MtAhoemKCz4snEXFSKrh!1SLZ{&;mUbycH~M<1SffJX^b}rX79r((6RR<>BP`Zm zNprzDP`8(WH4cqv)gv-mMF?lOvFKCC6Gp5Wqpvdn_R8N(eSu>AA~=b~w{s|;j=?TO zb7L&+Bm9q}^M6m5-pzka{Wlk`bGP#hIZNIz>-G6#xMB7pNJT*1nd>PmBU=qpl~qYe zciJK4>C085k2Z@PL-IZ&RIfLnW_mPn{B`5#{9fqOs5kg4$55$0zmE7axD1I-F1hX0 z!@He24T8muk^M9q;+M4pUhPr=|F} z6!@|ua`YN#Bl*#7Ok*?Kz0fx~c1#~qT9d!-?0G$feOvT+7=(SY&qb!NaYG)Z)WS4r3Blq0EKx-YiL;e}7qw6cJ?l^-8^<); z3;5AtMBh|BAgcpP|8$z$B?R>vHj$=3M$ECC(=Fc+-5>qWnYOd`N$&d))2ewk;&S%E z-KFV&dFyYYSpLvlZq22CkVa+1x0I#gO#VHezZ;#}D)txm*)nqaM~Z4p_dh1HBVawv zk;ud?yl8HBQtlG;(7M~G;<6x$6J_0NZs+mUx9ZjeO`+kL`KHvsg^@GuPLhUZtBt0K z8FqdBZd|fM-#lPjQkDx}xZ+=5Ul{qEVovRO9p_{JvZqwSn|hbK_NvOMQuBbG7S_`% z{?{QAo053c3lHv7x#tNr{~4<8K7X6V=qI~s1ea{E$3P-VwEgGM`HZy2P?+RL@sXk? zSb)d#&`0Usb{XJJsL4V(`pL`)(?IdnnGw{N*PkiQa7K!V+fm}>Ug>2v>-uwMci$Bf zUmRU%%A>j6U?oggiKTtU-$2hgVs~Gih6(-qY()&=aE&5D^aBEcmvgic?*cHeMl0(g zp#K9F`wquIZ`CouvI6@TZC`Li2SMn75p9Y)qNzujqQXaeVYGA!Ka{-t|E4 zC2+!VKK84baHn40#>^M2$LqYwwixx&aDSPuqADA_V_Vr6-nvHPL|9|}XVds;7&mQ= zjnBrq;=ebUzAe#C{@umgfqW4_f;8Mh`HoD*_qEv?XtiL^UWDZip{k`EHr{Lx= z#c1ch5Al}a5LA4?#ga*Z;7sFrRcLqK%eu7UhgZc9{D%Mx_{4=nJyq8@PWK-9L;j1l z=yP4yC9(f(UYr6$THJXwDy6+*&PZt_{AKdEI14QBUV^g*z5x1*XI_{Ym7xrlhB9ph zX1>Tm>#vFpw#|JBSFdRGvGLA9YW1l^#%=To$#cfaI$2wNw#CYtSc0p`N5GO(ULF_T zS~vbsVU0;Vlc0B|Cn{XUM8Dv1eITBT{RiPb8WiO4E#C6aJmIQoo`yjSN6LftWEtWM z9YaIVtT=n-C2MsjiMvwc_}qFlV=wlG))Ut9SF7?<)<)+oxG0Zxb{xLRj2Zk5#N@4z zr2$vxUOk~o_AL52u;#=ciH8Nenw*B?&^wLKe%791-dOv~`SCc4EA8i+5p(NXgz0RH zliOkAv{di@@4}wOX(L(2WpC?**Vp{M-g%iA=oIj>+EjYtTNHHvH^~savwWlVp;cY6 z0fv0oZ&23nz^wW$kY_;k>Rh@#&-$I~xDVosl)8!}u=Mikjs04okp#J~$5FRJ?(YhR z*5xkGG6~Io112Y5h}ScW(|3L}^o^IBGeP90zR=j22OthokExKc`We2huS7Rl(+reJ z%qiA{(=L~cBU!~D?M5`QtWMao!%tB$N?st~ESf#Ixal2fDuFoSeq) zE*WdmxcAjH<2~3!wg2VPKx4Spkc&y0%(Jg;QbH>y+&JS6=q&R!wwR==zcP8`am!*v z8qugH2c#g5?+gmNj$zzB_naw@Y0p=p)>WGfRmSZhEbT^#5?OEjQDXl0K&I|<8V;Ae zm}p9+BSk9D%(XuMtgS_;O@>~!QBb&?ry;e5Zryx4DP`txVu|` zKya7f7TkkNfSvc--Mf45kE*Au&Y9^t)iu@g^eHh82SY499H0rgLT2=Ij01&C zmXCb?ZpoZW{c%<$Tmi?!v#N?o)1a=3gz%ad6V$mwojY|=YMuo83RlCLdMa~L>BT=K zeRp0kzfvnWm*J~oXjL-5;Z=BU=49SwvmHLIW4Hb2XMu$Q)v&_huYwSU+eGQzKI(&C zFoej;yX~EHW408YN_2J>x0!O2!@qH9#DfkrkTJqz>cyE%Hq<57*$@j9$-X)$zySn4 zq~&>({*MLT69CpZV!%S&2~KzXoSmhfrd*9v3bfRZ6$%_m0{5~dcUn-?p zuoUSO(jk(8lOTUS({1l2w9_&v!0C+0<;*iwg9rRu8R1Eg5CKKnt2F{SgN`vxUAV)o z;{@;kfALxvTUu0Y$fEu5l&W0@P_g@-3s>4vgjX(ae~m6L8Q5m8rxh@% z;q|a5e-w!b;G)~C5f;I*it&%o_u@Z-=~|2~9%LSLY@0sZ9FCX9k|6hngpy>`TM}wQ zEAv8JLTh#Jes&C=(%Y|yJT!>QXx4Z^SVb+JyGU=rO*(RoLmvnIDPIr~xi250#V;PB zL3I!IVf=$dIz#al5B?F~pHyUZg zvQIFoawprRn|1*OMA8V#vGl5<1EY#;%GtYs_I-=?GH%ZG=U!(Iq9jLE4TL4m5V;6i z%iT(b`o=lE0J*}R1hOBr%C2K%??YV$aMcdM5+|PLp-C>*bnp|uTTrQnJkKSiVQ~<} z+fG*_MrJ24UHQ6dP2|<@TlkM|R%(PcaJGJLdi^eh=l1yI|Fzvv=ZtPsJm8am7==sQ ziTnjRTNnOIPXfZSL0~SXrRFl{cGnF#a`!Hxz5zZ~B-t)dXb>Ld4tO$b)?$Gte=h$F zt!MM4CB%OF=4q#r^9L7?1`M=Q$%I%m+8y>MeDpn-njKXJ4}iN;BBhx!U&dWdL8* zF42{XIh3`B{}jmQ7;`^N`c?OM8IiM+M9YsRZ88u@MD@O*QH}*$q3U$>0t}geuQcf4 z{wRiE1aUn;ELdmyemKEAl*e5+5}sLSobv?8dHObx>3owbI2QO(PTeByi`+$|06hgl zX16eBa`gyW5Lnq&gC*?`T+^5Q3Ivtsr3ojlJQnI*mH&3sGHU+VEn%vM)btT&xL(@^BLNWRIh}s1s9h zG7E*R;`R_03#2UO5rqM?Tb|)2t$A*U61md#nj+)YVaS!weRcsP_4M5bd;l|RX!{u^ zY9Vp06XwN}{?|k$#eJxqO;LP@;wyY{2St&+ zN`=OLF?trjp%zNh>OscE$dIoUqU{x&c6f|MMGjVjuj(1dyPqUnlN6b63(L8K!!QR? z8kYO(tmGM6@dtFXAHL=lPuFmh52rX|fzxpP|7my75u>5b7~T>~(UEpJK=R)YU!Sj2 zn0Spe_cVVHWWJ>{IXi!g4h9b&T82zwvPOrpA8y)M&rv@5v0zPm`2ylIL8%sb$dc~S znV=DJ7g93y!$BcRjwubD3TFPFQNZOtpAJ`K7!6V1CYieIC|l7sSj_rSN+1C5L02C)1ZP=Z&d(Y&J4 z%zpSlr}~@g9(8#>Md_}^k?^}uDC>b-=Hf|Zr&$1K!j61sK~neuUuiD6+LX3a#qYUTSxBnU zkqZFS*+>bAZjNEKQ%ToQS+%+YCGU;imw%WFYV5@yDZWu>E%J-fYC%m_!K*sQQxBm{ zqc1lNRc#|F4dVtbegwLpNY_bwyGgN$iP=s?%6C?tB*BnnOK_H_T zLZozhF)%{*ZBeSPw6Z%oq0zRLyF&_*(h$s4{t&er(+9wc$_kr0(?>y8xGdYRkk29O zU(#u*IWl?>F69AVAVw$_)A!9UVy;tBxVuw-1m2ISu-DP(2?{DXAyanL{nbas*{&vl zrLqM+$}3*_&rXvH=deloYLEnZ29Xufj@f-*Fy38RWvDj3IJRe|Sp{{4z1!#Bg#O_D zfs5MyIC5$jj$={9$!O6mwKL3)&2tMgmws8;640RCf4?3wyr}?j?S+7EJVu-E{tbdG zf8ZR`Z)h*0dWQCx(#{S>F+sT>UKvVQQ=I10`(e4WJhDZr5Vci1b*iDh{I3e7Qd(dF zBOf~}A#1A9oV4`k`lW4hWN0L+lKELl)^GSg)f_Bu3QMecbgCU2fd+t1oP-AjF0zeh zR{HpBjN_8|Lj2^$q6yl5r#>NWjy}N6WO5W`|JlEJjcl(IMeXdkG+-l#iL;^1XvuS< zVm6jpWS#{MT(3Z=SfbK-Y5@y&QzhVF4Rz_Wy?ub@8v+qVohvAyu40r z*sNYVNy#l6#)m+K1Hj#7jA$@I%6If$fo2<^5rt*cwohyRjDjgw`~?z9kAiO!nyk^U zz1yi=srn;@FoEnbH4mrrH-Bzl;Xy+&Eamb5b@@QCWsgA(ux+Wh^XyX9xYO!f0eqDB zHWB|Yj3}A+AcumxNr}4swygPxorm|-Emo)nWm>sHcZts;mkAtIhp*Tt&)s5@-B5OQ z|3@4E>F&3IWvS7>Z_8LaZ$#h+#2kHaWxRyv&x=!P^CuOzb23k^~`RCd6-v+p>?KguIj9mZH?)b~`TwRLpk@zCvS zd3MR*S^);jrfJC8+045}@?7!h2e_3u_%TNpvOF}k92BBGeih>`5-aJ#c)Iz#$h|$6 zt!$|)!NKFf0RTvVw#;M2-E#OIV;BH{AIc#F000)|mJTjo%$=-RWvpzi*`z!@+}Ug` z+yWET9Kyu0hAv<6v=l+eOkFl6mZ~jpKee?KG_%NBoT9Ptt0`8cME&(x@m-H82y!#G zvLBYD;3+6BcaE5xysVwHx_=uO$ZP1BKK^Kq`R2|2fR#ZnIUUYs>&?2;z+{f!?u#z{ z9S5F8wP_cw*FZ-y)7EoR6M13}m(4nDn0F$Zx{7L=a6G<^iQooXzIR)&X&3Jx>8{y* zvzTB(QB?8Bxn>s=emQxXQgdc#NzPVF}5?=jL(#vVgOI-2Z7HB6l`GC3&~`>k;?qGab*7L;1%w z(;-~z97W`~m(Oc4=$i9KS|xTApYk%Yx*;5!_4f6&YQhn$cX0pTg0w;xL`f?8uS_@q zU{eYU!1>=6L>9Ul|E)!F&Tu@743^A8fDBDI{AckUbmj@b08K2I&PH5bz?`6ddo9yW ziZ&NppWvusIwxC0^mRDT|Mu!_e7gJJ;%{&E_kZWMVu5!XZT##(53dj2 zV(Z-@{FlKGuao|t0-w(5KZ)Iq{9*s`@au*$Dv;%V;ZK5ad)@l$)$s=A*YqDx$Drxu z$(^_^HPQEzHFnG&o3B?JJ6+Db&&Oq5)6N*v!OwqoVlIOo4^r|m)c*ZD*ApY}eL5H| zi+_s|TMu-5r}?TDEZF_F7?U3m3fp+ipK@$(4ygUzIyQ z4m?JWh8^yC+~(v&AFpvYAttc>!LH7H>$@I2s=W2_w|Ux~)H#O76jSvRWHBM`4V3a6 zfn_f8z9cr)9~gW5?vHRP=LS8_4BZUY9=@?HES3MJ`h zQUTa?@xTsl)`|$yfo_K}n}S6-r!0UFN+p7=eS%EYFTPyH149Z~N&NLeiX?JnTl$x{ zK-oomJw;TuzyJ!@EfNxS3k;GI7naOt1;~SWo+y!YBLG<@^aezLgpO=&BmvuR025~V zy?}t$x*34I?gy0iD^Ns5D!UQ%ik-j!VfibqHzH>C_asHLLU=AiEGg5Z1M#S#F~|M< za~?yvKsC^U8w~MmC>AuTBI-^f5^FVtHZI78+Cc&q&9Ybv(^&swUojFr2&EIyEUGwD zggDUCuP_Ja{u##CjZA`L9)&CXW8bbFYk~Y3nBz01cmwe4m=#n4ljx30b~fi#v<<7+ z&w5orQGSS3a>iN@rhs>+lo?!wi~0g%BXA1GaR~1y&ftd$KnRO9+D2K1({q&Y^TAB* zKopn4^KAvdz!O?vG0Nc-R+Eb-&V*oDD#3CaZGT%1OSQu~6lEss1~RsiO!@Zy)rb6i z21l&J^3M{$+dC7@amZ%0_0cpXL=#m?UK9SAF2ZvSsbr8*DgMZ3>2{_FQ9{!a_fp6^jZ8 zS$#9Qhiv7yh7hjOXRNC_lnEjfmOA;mV*5J0(PgFP?ggwIqX<+P)35HZERJxwRF&3W z3b@G{W1*iSNpA@Gg(S&t31!Da*|8PVNVB}1_2;>4uAtV3&YY0t&2u*d3;!SE#E^4x zc3TkNZFdfJu6xWqSO$0p$;B(|210(K49t!%_c@h^rZt32AyrrM%@9}PdBG^Kf+q39 ziN4K-F1E{v?bGF!N9;%ztrsqm!85p!D{2x-;k@WD2|mXs(31Nn#p_2MLEv)C{=!BW zneEVT7qo`reUb3F5>y12gB^s5N zt1I191>Ic!Cj&D4q7%U}Wr$C)0$cOur^#x#q_^SNGF*uyX?!tUaR~K?;{d8L?ZF}W zX?Qr@P-S|096hWkMY(N)PLjxE$8Vvg2h{K`ldwa$E-HUu2?~8EM0{bacvOrl-Gg2uMsyvApBR< z&sK#q;zKeT)xB!UxeL*(!;|2^fw|@VSa>(eVmFK6!kxhau>A{lpponEyCD3_|+|E=7v{YH>TvQ3)tK{wXMJdLwJ|8~5S3Ff3L2-fA ze%P2i>KB&1xxNpsWJ-ir$PEE!YK-06=&BZsXL3}ctJx1m$)uD74 zBh_mNlG^1g43d-rd30j`FpKiiwZ+rhGBVe5MfOgx<^fc~{@kjo$bmw}>=66k5!iYaoR4N7nJs~srX8SBfa6FT`=G-N^vLWJV%uq_j-VAXJspTxz zPZeWF=z0f+q>RPBaf~BUnD}^5T^5Fa=?q<#u_$JMtrCsuJFdDU^Bs|_Mqp$5qq~K1Yoe3c_VNX66dMF(4CRb> zlm}tQR^$&smR5qKjB;@|%J9NtD++fYO+H&%58mUiEQ_b+g^4z{!l(;9i(Y@iBdr-X zsyS3I`)@qCVeApui$3sebjJpUcEnm8rch~a4H;<@n@@EROW~=qKWl5`ez!~SZ4yk~ zg*jqoM5LL<&=gN?!l9a3gsJD&kbjbkFFl~d*B1<2Tuye&IZFO8syQVqgqT#A6RGWp zVdU*XyWo9$>3{xxG_0w-iY{w<^yk=No}}7JOCQ z3J)M&-}n(gMM+_~&hKAfwu9qO)^Md`-AtD(=ORXw?6hhxH2(M@mv5&PAF~c_U7`!4 z?a?&xI*f7Pb;s`hnF$j0H48c#3L>btDW|)AYhDTAiFLbgu8bR)is#l6G%~ycOIo`B z;^{U;)Rki_Q~7B}k*5massj*wj$H*?6J%H$hcPMPxpAZLAXpzE!~ag}4w-V1t>WZU zN8lPqmd8qkIyjEPA0jk=vP9xf`FW;7{xnOO*H()=7u?B)tZa{NZ@TCip$+sf^E^19 z6v3_uk4b-KHWU8G@tz%)N$^tMcaO0NmvtX()^u9PtvMRNN$s0moV}z0eUuNCXyct^ zFwL<}MTn{nygV{H&AL+aCm5NP&pRBIh(qpyuq38WXJB65&2_n^G+tAZcA6GKk+- zH8ffbez(W_y!4$G|9h3a2^TPq3+kX_7jpVNW1X%@!ib6se{t&42%h?P$3|zxHK%g5 zmUD&wwNFYbEnmsdtvsx{O&{H72d(bi8^Fk<7(eVH(4~#)2a<~<6{8`A% zK}--?V_s2V?E!xKhl(2i;lFKW9XQ^Oprg}ie97BKvEb_CfK*6G1iCqGT&Y)c3aQI>y?rvG!67r}MAK-! z;RIxPxlLH&EmxjA1kLbX8OM5R$s6kEnDN-hu9RO8eC~4S^lalrN#(qVEPe(~Qtv|| zb~4*((wl_;NLaZDo*j2_m+t7p#MuexivKx~VVM((7Zw;h)BEUKzP77{P<0@PI#`lQ z=b+0_m@0~9YrC!(K6z23xz2lsvJHP^uZPp1PfT85M~S=SRB|p-+GpbQwA*;}3 z^-)?yn|N229!{eCdF?EX>8o&{`*X7!!?p7A#+bpp&DZ3D9KQ2Q^2^$Eqq&#h&XDul zs=S~l9g>HIe`)1Gkec*a-Y(q-O>>wklgKvW$(10_n z3TkTeZ~WhU7(g(#kG+UkmT+ucMSP!uD$kK#?AM6$m8B-u&2s(0W(wuQ`7<7^+lbmv z=Q-Pptznh+9ny}z6@^tL4$P)$)eE<*7CN?ReqYkG?{+nn9QB%aKsu76-E?&KsIMs* z9oTKsR_-X5zFsl@U2AFsS%vN4*dHdT@Yt{DnY3UfZdvnIvc$6vSTE32ze|^F4*Za<(a8FXQYk06sjT+8|Dl>H6F-19 zgn<;tVWIR$r}!NEAsL%=tuQN{gKlXvvPF@Mmg^iJ&Kf1f0_DYaXA6k8OW?lP%($rV zBf<&Vw{da9H%5xvK|WrITBwIBSaVAgOT{1lOC1$D=q0XTN3?{lX|vqON_wNPdZMMY zYnhpw-=WS3PiKUYp?!-Q63(WP4lxP6hjl4uTrJOFeP-P>7?E3#AR4$2@5Pp%D+9rF zYbbdK#fJr+o$XT7v_kADqF#>GQVxpvy!I z6qCsZ10(ar*Gq)A)>#yT3pGtc`9tH6sJ>CmrhkU`ol={(%S7j0(Y3|S8KqV<)4}?? zrC>yOv1)X+ZCZdc*?7;xe-tK3MHediyW`HA8uB!s#(|Zbj)`raWziLbAEr0Uwx~^9 z(uf)=(iDv~4Nq~=ns6dsi^{j_BsHj<8rW2_aguJ0jNwY`}kbRu*iN;OyOJ z=1)jis%T7DvRjrr^*HJ9RBoQGvD^)GB2O9X@ieuIi#M8c6HCmet&VM{>&((>jNM3p z+(WY96=UQW(<_ZMyJ)}q7-@^n5ksh0_lXnQ-O?Ew z$$xWDc6C^yIyp?%GL5olyVlT>D-~4T*SA;5vuI*UT@RJ7Bf)u^byEFqxS6ad&2Awr zOs#T-B@vOSO3C#?#3ztwyGer-;x-&}jO+p~6m*;<#|v|Lot_zaO48 zD62%}u0)i2ZNH`29Iq|S@WL8OlFK2}J)(&C>ZhTiIex6hvzBlr(@h^sjdqmDvTMEb zV=r4*FDn-T+%+A^1+JeLiBA11PtXfopr4_#5CY~~ z0y>lyNYx#kPQfr9GHQd-dU!cqsNzFgn~6hoN<^p3I|(lqg;>9#mfieTc_YrwS%iP7 zaq@x60hTL~E2=SFJSL=I&9b1$%FAbRy)^s-u|GUP{o7=62c^kFy%xsHCQ6vsxxY0d zh}v)KlTxdHG&x1Sbws=6+HeBT+GcQSQ$C^1m-nFOzVr-o2cc#hgIHI!(7^2&>uQ8_0zJ|18Yqe5pUBWt8Pl@uL3ZR;BohRWvknF~f1xf2) zNWt10w22d0XdO*-%Zy3wfhsq!Fc=YK-ekmWh7(IYuD^XwBEeZjSfme?XQ$j{tZ*j% zOvph?w)+H$fT$oR*0pzHj)rzS)OFl4IWjEK3|(buA_dd(WDidhhY#P+h89U@V*5Kq z7Iv*sWM>jZ=RQ~|ODK-eB*~)L z-Lw&w>z_y^%Klhu z8Fzqh($*-ioJO6x^)IzhXm>MQLF{={^O*v2MGHc1HOg-8&>xWhw7N_=ut{ zP^<`f+i#6!LRtHVja4cr-LdEad3&ZG=dpxLY;F1^%_1f-m|QaEsJ(kQ)G5jHu2-v> z5m*z7xAUk}Y0dIC>kR`O@E*mh;rJIGOR;L3SWyr7AwxndOy^GDYBlQ?faL?I!dl!* z)vK-{zSZ2UsinRlx(M|8MkS`8V066=-ajENp^LI=flW9|@IH`@nTGeEQ-C679jaMs z28d3>Rq8{^cwj`5ZwR&(OW0#uL5$=G8Fem>8!E~Uul0-hZ#EbAaTzp;Kw1w2#hFv} zh{E?k&BALVQ3O%0E^=r1s|Bj>&qk^>tO7%ZOm|71oj51$oli-g(GJ?+yykyZiC zA+mXE+y2~FJs}*1&0Qwf!kW1Q6Q%2#95kiPboJdV+=B`EidkG+t*g;?b0Xaz1m^!C z$QQknaIuT8xdq5pDt-cUcrBtZVfOJ@ihpkV1B)W;+2=0h2Qv|hYo^A|pQ=Qk?Ip!c zF>^wcSdsT^Lstz$g|sO?%RsuV)UJLDtA@zWRNTkQZ2pS;p+uTJLMhb*KzM_^QF(+K z>(GwXfD`zg4*Dk?al8UiT_bdnkF-jEQVHvnjmWx$$v2Tj=dN9bDO_ZTsS49JJXu$Q zYzn_*r6FIYwE8ezgkPaemx3B=yxTvwci4@Q^&wd zx;sEdf+jZYQiF{d;0WMn-4$BMFASYl-SEH2pA6DCVEuR4B2SiodNNy|no2w%#5O5> z5HQ+(4|kw6TVk9C)lo#9#^QfY%ljtNLHcGtcUJEob?PMMkYQ?ux zXkfD_igm=#)u>V0*G)ifI`G#8iqsb5S`P!8=TF;%yc!?&-IX{=T)#E&zr>!bhxz9R zhjnBQ@zo-n(acU;K9F(^HVS}5cXAeUG(Pf}CYMm&{oF7~DPDcJob1R_duqf|n?h%}yjytk#SSOy0BjQ{ z+pK6(pyLBG&uckZNlw^ztQ1|&H;Gy-ED)mcK+)6qPQs?l^9RO=nPKjK3=Bv+$VL<= zkR>9CseV3Ojx}njT`qZ-ACBD(7eFB2TU;n*A;254kcT2NmW3wa}F ziD>Ur=5++4CI`VxCW?UIH+(~4Y?i>?O}#L^Z|k?XW6JYRSgpUod~)|%K0jX(sVp+@ z!q=$zU<@+-fqz6`0;Qx#8ethJZqx<(8L9YFi5o=bKPUEzwkKYFYcE(XY#lgmhGYIaeAn}`!^ztY zKUA#U2Mp-mWG*i07G2GD!o|OGn)gNtNi_L}?YY%`y%@O_K#Tl#$ke!EZ-tgYleDx5 zEeyValq;U~fSy(=*q8o-Hn>npO}Jxtrh`t@R-m zj?;sOe+VpA|M`@Iubq?;;Z5Wk@{P|L(7k>RtKi;tKE6lSj20zGRDLav?-};QIfmR% z&8|MLn49=oi-yH*0bm~O?i;YxMz}h^QCFwqf86Mar6n;~T)%WsmJ|ZgHwbSXWkLeh zk6K>-$~v>b`DZDRejux?1(`R1`Gq&KfAY^-DQwD- zV*d>L5{xT=L^}^LDy$ZSR-rQXsg)WoUVC-aFxijR{^|60L=_{%N{hmk+hXkRJF#-+ zdyd(7waCs+i_FqRgJ{PLXHj+d;=0+FX+(j_KLA>_uNw2})x*8W)~)0(6b0!=s3M1E ziZWtN-wt;90AKDp`|S3P$K85^#N&~q4f6Yp$Dt*%DAX@PdO?bZ8N~|oeT0$%eW`I} zu>?X0_0|6nB$eF3Wk$n5td<4*Bj1qKXO;$1Q*zRFNN=`d7V|9bfgF=$wR_lK{B1^x zsct&+JRv#;s)TS7PHEhLd7f_(Tf9Oe?SrGn&|^KXn4%u{Xgwd1-A&;jy_M`W!iI#x zT-k~B(u}sQ^9KArzle*LXkVUvf>REQvHSgVlZkt0{rr-P31^9098bu*1vk2hg%W#r zq51KY%-BpqfuZ%tZ=>G3r*F=Iz57P_&QJG;M!m04GPrkt?f*yqKUbHaS6hDUf21AO zn~E6SV|)3%P*lP=?!0)vN#GYpsrXM~rH4)lGGGSS)MN{Gdf8f5E<(SBKUokCrc)_I z_#*R;ucylW0%7{7{-aPS0N3CenkmX?1xZY#++vu^s%mEOQJTr4)ZA}?`=ZS zK44R>D|UOtYhy^Ea%tFR9MO!Y(mc_p&LjSZgp6C7i1YQwfWaHS5)~ zty->ye_ccg-zW>ErX=IC5zU@lc>i*%f}$FEp|ZVJPO-i{l5AZ%IOUO9=5Lyd9!M0H zHb&vS8dK17P7(Lu8wFn*K8Abc_mG}3u`3fYAUhH)TILQ%YHJ# zDK_6xbxNXNQg>sksT!uKXaq9`zaC|5sV2>?%Qb?_i>%VHNi zkwt9jBmN|lyLMQ~RkE#UG$?s#w^-Yb(S5Z4&_3S5J52X6%X1WimTg7iitgjDpwakD z(?&~;^fP_g@u}2rxl4uv%|u@*Xd}XE9NF}Ge$4}LQd>`6FhIE)&t@_PHHNf(Qa&MBj; za|UTf#lK13AKLp|V?E-Odl1drrAf5Y|qkKK{iPri8J$jQ}e=^PU1e`UD@n?i{J% zuy+uvOnn4L0fNC97M9+8+0ELKgIemE`7`U(8pEOAvDooCZ>^@q6qARoWemdBf?|_y z2s}`#nVV6n(cCN1tR+IQ|TDMZE`w>m5wO|Psojp>4#eEv}4dmYv6SYZlYQy0+ z==xIw(>T>cGENmDkdstWnM&ANoAwonP+A%z$<4K~I(Bo&L~9qDHB_1N5G%+}9BCOZ zHF^Z=gSO1t8mnfRwzsHahMVxf!S=blGy>*MKFw@S?oY}m5xuC}Zet|D(zy#Y zsB{JvcdWm)mc1+FZ%k+b#L4^EoyDolW_Ff>`k#=B7b zO zgLwxP?5t$bI^HPxdpInXb~^eJ?SB!?kfUfICGh1#4&*t(`a z3duW3cSzL2qOj)L^FOvEOlITKwzNIxmodXI+>#Rf2a;eS!i!ydy6{C3)Hgh=!T=Nq z69;V^L;B-COggLJv$2?^cQ%M(5+lS{JG1PY8l!o|Pd*7vVuM%j(20ye|E*BtaerZ` zd4jw2oQH{{q|CjIje{UOJ%JA0-l7Rvp zn~vcB>A8$_Ox>oYI)AvNZ)QQA+GM8{B2M}l=rNhx@`u&1GW$wHIaD$u9VagL8Kb7% zaS zl}g1IUQr8lY)L{2F}FfX?;>I@J<|3h>GE+$IE)i)Nuf_T6_KXYI#$rDhcEtl^3Z8D z*^^NI;Z$IKqPC7vDxUC+O0Co>ep!FNJOwr>71O3i@9FeV_k_C380u*SX5X47nMzd2 zKREOSZTJvcA*2KkNu9sgaL!DN5lzd|BYQ=lY5X2Yj(rl&&p3Z5m5lKDLIe6ffb$up zcc8hLERm$}h4jaOzRo#zB*QQscD3z_Kp20+{ley#^M4BzWB(yo0kIZD8ZjtR_y|Qd z|BY}Q)Xr7D&&i=EbWi!kS7*+?Zn>n;7N(SU8i~SEZ-sY{P&P2J>;SN&(2#w81S_h$ zf}Tb6V7WG~{hZsj7<-i@a@T!BBx?{d7>40DDGUzLHn&Y&Dh$SU{I#sFVK5t7fF)gS z0e$l1sAS*zDFLXsAHbnMDYsej`;H0z@yU!J5AG0cH;@ySuXZxCcm{v?AvUztpId?f3$W9@?hIm2MM z6aWQ48-8FoSVPY3N7n-k#nMEW;t1ds$2_*2m zv$|EYSemuWG%hvW4>@G(zFf8K(>VA;7)_!w7`HxX_~YyifU!VS{Qd#?KBXytiu^en z50U7OpAkddB_;rX+A@Ne$oxV$(pzBDKV5zhUpYaZK{2y;g$yavJKnC}MjlhEn*$!} zi{ITc<+5hfFM91gKo-|LNTRzfHl@?Vjp|6g5Rmi3pKfSD;!Zj88;W~1221*nCpvpsLD&zw*rCGx2 zAJ)d9Z31r07@$hc8g^Q31(F^#7-4L7R^2Rbbd8(xC2Zsaurr38u-()OQ!tiOkk~o2 zJnB7DRmf;LWw#^#F_P6R^vCuw$V3@If>mLmlm>_73om(5^oQp*H;?)t|##g zuy8*x#QsF|v4-{s(Z5>5qk7;T;{=rmdrCTO(0%L7&3X0ch4Y2SW(6^#iDwz9Zii+D ziCceiPz^1f{t2f+Vp{%b26=PyFZNIri^n6m1AgAOeMHFTuq)K3k4WAO3aiv|$qI$u zgp43cBal87t|AbE+3v*6#mkN+KgY$b46#sq2jnORNM?2IBYw%psKiZ%Ae?anQj@KA z03{&5&1LMdRL5o2gBwprt|^Dfl#O`zlfBeGIYJ$eJY^IvIa&#&&pCboXP7K?Ujht3 z4ZYp~Lg|sZ{PY|$^)ru-#Mh|b;i7-Xu7#Al5oYXmdAB+KlBfsn@`7H4|5A_L48~|r z>B(3Le8Shbda8sZtNOe2xnjnbK2wm1zprR0OC!2qM+EelprTTnm(pOY)kSM7nu5ZK zKY+`{X`<{B2$M*YzWBr0@kWTs4kA*;E+}=nQ(7BRF>_RzvbZ*<88M6Pu zR1A^QqP_z;o?w`-VK8(?;Qs=48J}%RaY6gvC>fSuRj!^$?_Nkp3lo15;B(Bsk;*Ve z)aaoyEzH(|4sW|*jGxQ-Kt6Hy?^mAAD>Zu{Jn=I4ufrivYSl*gZN=#o3z3OwgNT(A4OD3t#)(w)eeze_MC!_hw~-w$#rx}lTwg9k zM>D$Ayrwt4&UC!YjImN6eP!f-Y%yUy0haY-ab1=OUCk$A|HhwrvP;~!nFn>DQBx+~ zFht*=D0;U1Fv}k$JNm2qA(Sg;RJdS1bE0X%=L2r3P~K>GbF3|wX~ninx*1u zZ%ENEW6zA(j*}x2_eEso4nMOoo%Vy#MKtr^f?viFKZlJYvJ*N`9>}!Z@^ZGQsBD%l zgVG-7>gImjR!y*DK39Lje12u7(!355zxafl^jy^wsZIDVb34*Z4o)f zuSxn*Tf4`*ck-^*K}sZzU!tO8hLTXA`+&XP1$S}FHf2Y0{wa#wdz&F*t53xcjb=Dw z+hC6a>j_;cG_?1R(?X$+4ot9fc4to71LT&C%z`gW20rs=ucPS$aLg$57m1fUj?y>8i)FV7VW zCCESNSf~2`)?J|SF8)5@(84XIDqL<9WXm&TI;VW1fT07oC**JphrXd) zgjU34^y{B4Bt0>BLOipIP5hP8U|WIl#Rqm9&b;j3vJMk9I|KFr8D@DKB{*~)>R1NL8fEM!x!wW5(qk9-C&v*jV{tHB4xG}AS zL)GS~WtMz=lHZEFg)3({33b!?i@sYj@jJxAE=1y$h0c_ei^(K7sNHHMvc0t`TGRzL zmhG`8)rZwAst9vkq4|JRvan7NKlh&?n)3zIif38{oP0JTrHk6{Dlf5f!56)A`z+?0 zkgdfF`~Umv>UDzv)2z)B`DuiY?x=x1f`@Cq2!FAX&~@f|LCh>geD&iC&~7}vq0)nJ zD^|*H6$E^P)&eG0jBw}?B#&=d?gj8Yr1Mkxl*=tt zNn`~^H!yF+6YZM(0OrZRV9%G3`{;V$KVHYOu06!W4MfFaQ56Jpo?!%_3ww65IoeZdPyV*%B8h)}-c zf4t^1jXc+YN(X3d9y7y0gYvP*v(As?Uakas%*V)W`Eop^(+)DrG)s ze245KbikeMb%$sC43{?2h%ULNz#}u=@=@o-8!L)bpK4K1{G;dwVz+acW*Uppb}a$o zg!U}zz{Lo2BkCKRcWpFl{2R++2S4gVJeY@YE)(%V~2U(#>b!S+Rr&SRe4&wGkVAzeYJWYb!pOVN~uh2*vkSRFJh-t1ac9cW|-?2xI$yu59kKT1p`v?*V#Bdl( z*DW4uyUOJ*o`%Q(Gk#j~c)PX3k(ke!^5D1JX0Q-44f!VBtHyx}$z&pfA$)EMS&cQ1Vx7Y!gDcqbHKrC)~5v!kDK>Qs13qz0j3qS{Z7#1?N56+i|$1yq95B9{g1B%sK4sk1g38u;==xp zvrge(X3zhsYxOy|zd(E6Qkpve{1iYWqIL&Ua3UU6x`So2;dtr<#hWOgi^hu<>=Y=Q zZIu7R?*)}w>r&zo;_|6OQLTO0c?4aU{)xooj$Qaw=NjD3C8pjZ(aBPd*&OvdQd2D$ zTw%}}!h!#31}H<>zWGU^zpjLq%k*-jaXGhwrks(oZ}nGX0iI{bt7wI>fvxD(%NH%3 zu+DADu?rR>ubD{LqtxP}Ao$GpSe!pxE;RBtPfMmi`EQPu0r}N4_yux|#)$g;S|5u4 zlA6(>7O{y9-BUVWCLUP(*U<#-A*jJi@UoQ ziUfzC#ab+Aad&rzLW>nE?ocQccb7N!{k+e&*8BZ&Wsj``Nls?=*)zWxdj$VF5P7pe z)DAvl)s9Oe)viVa9til1UQR}jfK(k-@ArNdQ1%HA)&0H?5o;UpY4hqWO1-DtUa$<1 zQ0*`hsw^=gt7PVUnkB62@-;jb>@}Hbz0^^qFsx|Vx&AX1w?WD2JWH5by&t8dc{NZ} z;=47iA^PcGX?820ma5Tx8T0tjj4q6lC>Q*-j$ah;rRpDm`5ZlksoZ5rRJ-7b(uypG zQ!hv`J;JS}^V!qp!Ec;B?ArtMLSxqAJSZ3}?3_Tt7>XNjpnMN8Ky*HjPj@`Y%pQ`g z34cNTd8OdZi<;P+Npc2s7HLDb zsd7Y^nteUIn{v(m73U{PGKa4FdCF=#MI3k&O}#SDjy+;%18jIl^fM)~Qo|8ULiAC8 zDfmmz@N5<> z&7d@qgG>UMOwIf$&YC0IgSvpAobUbYXqMVhPm9e0w)UY2Ju9>Bh1ofZwmG8)CWEmL z>~o1fq^PeDSBt6O-RVgX12B6s;LS8eK3Q}IlA9{&)}e(GQC}&oM8b~d3P+x}0#jDv zzvz2ec0|PJZJn-`rabL!|Xchw(2sXd0agRyg`>2hv>_QWK6 zbVRWW&_AT0dD{e-@cIWeJ?Y&AC>&AH)KT>0zKNuvXUf^Yv*#zbL&z!n! z0*_#;J7k=ejdis`!C)36RDs%;lenc6_SmIhMU*tgGh_xW%WXC#ekNBILD7vL9|g^+ zSg7ZTGbVAImj^ZcyhiE>P7L=RioX?TZ*~7UN%}ndsSUFqv!0D-Brz@9$X=mp=(mc1 zl5im@$=&ObXg%nVFD*+Rp;5TU3RA0|I$`3XCKVoY9l8vXtZ1&~B>HRm9spBTuI2W+ znswTS<+hg_+Rw0slu^=7GsI@Ck*>F5TBKCm5zaZ2Jm;YNt#*LrHYzuYu^Bj@T5T9r zqKDHp58mu7-nqRR7^a0Rs1o_f8%6%8iJjJV!>YweN(Mi*yk+>iRL@&Q3gK3NTe!rk zTR&T?m#NsMPX1Sc9Q6--wlhzDzArZG)PXm74CA(N{HkyBcbo4iO~-$W)6(t&WG-HB zJhh}h)Cg+r0utPC@KR0ZUxj_YJ`a|KrY^RIksNsrBE4w)2|>+t z)kW}%4Wl#yWU7MpM~I`}#wQpy=Na*8^3QEo{ge^-veR7sdb3k*joit|(Cu>V3`h3F zZvA1*$|a%xuYlBbpaz%L0rOQ~TMnG~Do{iC63VNuebq4pXSN7t8KWASzUk=0WL0DU zZ2){>iO3QDcu)|d!T2;gTJ&&7v zoF%OMJzj(5Hb#==_J}>l`PxqT&7ctQY6vy$atO5)0U9-EW}6(3l760LAMrdXC=+-R z4n4{KYd8BWQFBews{4TH!RavDDRJo#)aQo?PE;*TP+s`PbqPlXr~8L=@Z5ns?7O{C zyFGRYjoQzn{+H`&f8on&f4bEFA;eO*o7fDsoo~GZWQvf^a2nr9{|5uzZc9bQkGVt1 z6>G^Zy+!Of8w<%U;Dun$UpL3SFDQ%d{D38Qen{i41MHrM(Izl7GP?qpE~*Ps^s6?B zw5*2aDmAnSpY})yql)kCZeUK2_d%EEnv(>jj(5%0hp}1@t&jtl8+OY<^VZDY&s>B_ z-vd$h)?y<^?FT-gzV4S210?ut08?4cz%twC5I{&KfG!yKbmcGJNWuV)2=h%MeXZYd zu5K%~wOh7P@~9N9S!v=Pu)G1IzC^l<`P1iYXj9|MTSzxRzOwHu&9tJ>C2Wz4-28e} zHm%D!jO6mmDGZT(s>lB;;2bu8HwEXfy}WoUcd>?u|(eT8Dt>^9pn z4&pSl{o3uh=01yPSh0#|xQJ-jyvX&<`MP=WBP%K3<^@G3s+QVHFAbHN#yI={9dF0} zOhT~;O+3C|;WoF_sO(2F*`W#HG_00{<~DaIc6y6aQREI(+{n>rfgPq9PMDBodX35TOq*6YDq3kU?8N}i=Ffry&g#0_9iX)SDRA-e5_d&u)6{xKPn zVa!A0=>GYt6A9H zkSG5AjP803$5In7L7w}wkSB*@X;X)q+k9gieKzreY^_dJpF0G?_11|}9pZNLp^*d& z=1n8JR6~|282{ujvph(YQql_Aq4xAUmqz~C?o-~+Lj^c0R02q}(CdpB^b81?FB@?o zlP{#XMl=L78{T6z+SBYj<-N&W(C^c$6}o(%|hOoN`96u@B=|%`@_4HQ_KY#^YQcpXbQIiD6A&p06 z4{`M%PVo`9OKR&IQBTy#JKZK>SL47RJFSngqk(s%sa;R8e#%jQu|u}gDr_q)t7n$H znsD#Enkao0r8%PZ0Ipu6!2NB^G%bEAv*qKCUk?&?fz&P(NErE>+408N~K!_ z3yh`ZhgPN1Y0xNe1CX{dLH1tt`iOqX%=`@IrN~$ zuKTdf(NPK&A%hb|+DVWh;ieH8a0 zD%wxKy2?ak?mq2EI{>?sSR{LzD;-K?f(0-rA(d={Jky<+_2-g|#?=yK@05cV;uoyh zw6w~6;z_)}yM(>ve$+nL2F*G!>d^76Hdbd2Mpj@WN=t9C!S-|>DUj}#fnghxmJ z0b-JZ%WX~9tbJBTz>yr6YzL&k{7|!@{8Le@0P9p(FnkGF8|joYwcG+IEaeN!e9K6J zhHD18d#*U344L*v4P&F)I7ic0>vzD-pu#CbMn&c0 z_P@0lHz2)ubs$|iXGo9-njg`EViEO#2^=Fcbb5E@Qj$jUg$lQ44D3Cakxo4l0v zP1SlQpX}Ph(TCrfBg@!sf%&T%v##Aa?1nu#C!aiAg-{lwfVHKHScC5`q!$8OEJudE9 zITVTh>GO3)>yzOWY_x@L9>L9Z#51A%vNbEnr~ zul{rC7lY8;$CUXxXiTQ>QFm_@v?uRB7Exii6!Wn+7!iY(jB7H?-)j=?ebVc|guh_V zjGO}%6$g+Ro}S|y=|CdENs(3nLKs!9m5?QS4tbak9Tf|PhO+Ay04`eC5^@X@cM5rF z^s*qLU&4_PJqKF-9LgH{nqXK=4btif4yU5m#YVUV@l^El&|RmSC6wtKMo>uHLn7tM zcuxZQ?ISs`C!xMP+#pYs|Z@*b50rQz{p$*UP#j$W^M{~TpA1;Ohx*0;8(gt^o_Ad z)S;+Ip~)VgZtRy@U3(^rV1Ny7p)ZU%9wtu}DG%U))YLxZ$(Yba5%SQ)5)pQ?^`2}K zIbenXJ4cJk^&oxm2yg4z3zE2{LgzjdlBnkQn=>*4k5T&!#v->J2DKxnSx8t4`YbiF ziSDwjxgLbv`QjwsH#nsbs#J9V5Xd^sf5Kyn2bHJJge_^S8dmE^A>*8Nr@ ziYgFAjpH(u1iMRD?7b5*M^3x>&~lR*uG_X!lG7fk?3ks+ahao9cXdDEModJ?Xg9xk zT2p;J=&(ne3NL~0H!2o)5_q`!8D@}pv6&a1MeV)<{6J7f_=piu`m{M3^4uyrAU*H^ zqJs0xZzkZa*tYx?$4xN%nBZZ2%-?&>?SwN+l~Xl*gZeR`E#JNe&Rrq!{dnK%^0+ z==Mg$xNt@352a>wWE&50u}YTF4FWk4QJb`{tkN(+VqxDf0=c#UL!rI|j(^A{zt8{1QWp*?Ea9t!_xS zsRJ{7Zz*UPv>R}>%~U0GIw|lryH`dCv?>9bL)$ws=g5 z{F)lYJxmG;41Q3;&2i?9`)7jMnPg@?YZP1>^J(<0flV%&QD%_~)R%~Sjws^GC&t|G znoL$RH>ohz*d>~VM<6P*2X!6H|fA7q%`4!0sE-G&qS?~wQAD}ZCW2iis1HM+!epq0E5h9={h z>tVyCWUQYD$-GmYf41L!uKzKl{UZJMY?5u^K93Ui>SqYm9a;H~!w0d3y_j$Z)Vs*81Hk#K7MW|xFyln2_;9I{CKhu8F?2ON)wt7(2cp*N-y(J!(0@LqdO@}V# z?lLMB4K+ycXqBSC^~(ccYJnA;BO>P1@+=EKl4Vt5PJ?*^Zs_$=Jj`r9&`-R3^_DG$ zZTWJnoRnftXUr*M_%DaN&1hG2TdaCWs8yoIKs=2plBG7CP*h};MWi2`a|+`vsfCWs zd6W_>50$!C-ZZOX%A{&qihz;2)SFf;&3xrUVn+P0tm)Z1QUVEm-;k5U=!y_A1v~54 z1bEA0f6z8xTNGC-!9_wp@2zV$Fn@kQzT2I+=@03dl6O~>Zto_5l_;MD%=VWWmu@F_ zsk1i@G_1v<+yyq$4sN5Kq1{D6?4u$Qxwpk*o;x54Jkqg(Rth?$*y@DRDs`3RuPrtr zGYPb$FQb>Bjzb*`ky0kXDLZf^Jbvjav*tn;y3dibYy45!ZTT|og|^HUg>OD{^WrPT zbCXM+0#p?k5=27kkzFH+7)SI-yJEB%wus+qC{NV`UUVRN&B#DAw9xV`1Jo{P`|ByA zZ+ond66Yi=X zBvLkiV(O4qWxr){rP&_DET8@RdC94TZh5Yn$e8|^u)T7ujQ1aJg^1~qn2~7^uWUP> z@i_0@KqMaj;7Y|7@kC@MVzlrtYUW@atKK4}I9GJl{}9C{-6e_B!1@nAK?njC*Flv39*1j63iJ3dHrIlg5vx=5C{G_}ykvD)GMAbm+VF|ERx zKt?y`uy3KzI%XPf0uY=BJm-eaX3Ml2l>$! zOknn1J(KvJuxawUwqV{k<7al6Xm(N@-PG9JOQ?)Nj{W?Bm}&-%YQ;O$h4KDW@3C!y zID4b5x-lh@uk8bW;*~_kJ)(ZDGAOAMoLU4jW(gE~OD0H#X*on0xOQ8xl&U1qy(it$ zC*uz#8?d8Hc|xQn*yLy$Sy<$sT2@b1)y(8-;%vr*Y-3h-xruBA)(_F73a5uM*ulJf)a zKp0V570q|2$oNm3JhRd`n>`gME02VS2^#1@+KdW?ImkcK&*dYN9h7N9St5GV{I%tU z2&dTnt`xOa81h%@Eqp}D2K_`QOUO#FMuz-QH2Z*EC>+iSyzmk|<$HT4O=#_MB;a$X zfy7N!XTdtBk`FhbB^*rDcX?GQ?SV(Jr2ppM)0+qiaswaMLSZyAk%#rRk#gB3EtC5RagWs6oGbb|Q%;voQrV@qxRNZBv)d8pBH&yT)Wkhe$ z&9tn@+E%0U9rW*Dlfoo9BT~PdLN2pm3UH>Qiypv3+PS~(qk``+qoY1;1=r`NSOqwS zme8pZ6tI*q=)yk3*_^c3XAa!`erm)_&0E(}I(G3U9Cs*G_2SrqXx zvPJGC&p6L+#>X&Ju;3KPgnt`0cLr;2(H5*IrnWL(5*9ONRF`tiTc@3mS=4YRxGG28 z$r@T|p|}tz3k@U-`cqe7NfBA~En`RuMD#9rqOZL5Mtd>lrxko8u6Xmpkn}%;M6^=!3=4K;RV!j395id!&Z1)?~PK8$vfB*vf@+jEPnt6NK0&j(L`K%*jH&jMP)nupCF>yyyKaP^$lu z;g{Kmw4h>zo70R9ga2v(yyp&Y9mdhJZFu0 zVWY(9k@cuE=3;q<(htBt2FBn@8dJM%T&HF;!5sinUj33yee}A%(Mx4WQ>An~>M#L* z9BnDUZ~6Q6&>f9RFoo)L<^-KwTV@e!?-}DhA5m0e>pa{ zNubnmT#rHJj>46~K<1StOP4<3nN4_qQ1Ac0-T!knfB(%`%g>`?+tmoh5qzi#c%D<@#>xBEpt@_EKe~pmKQ1v7}wW`Fc^iTE-`h%!ms%Tl(|FWDt zqvUynRdg-TuAbniZd8yFc$ms7*an?MA z?#ascQ97)cjWAqpW8S&^r7NK4y_<~Iw`~v$?gumfW+9#H_5E@QUX({{JUi99SKv7$ zv;|ng0RIub8_Yp`PLbZHGI@GSk6ruBvWh5n1K=4`UleoyO*;Cz>BPkE;Y$AW2=7dI zC@7S%6gMrZuxn$oP#p61S0HWf>K#mq*HM_UYhZRh=bK617=trl!Gx=^%|;wzs9J>9 z%X^@?isB?r9f<8JsQ!#oWjBbyAo5pg6PXzaG!ok$@Y{|t;K?=kR8~aIX^46u`xR>B z?scO;@63G3Ao}=!@>X?lYBhabfU84LjKe$$gS{A_i0Lkbb2eEKaTuQJNkQq+zW@N< z=aL(Ba{X5>Wrv;MNCgh$SFQ+NB#U3)8)W}n zJ{iAYRRSe^laVHtTB=D52h~~tckZf??!x*4)@e0Gd;&~a9x?NntZsUGe{GDq@o~8a z-`-XuUf7Cd`dZUNh^u7JBTIEE-LaLDQ_kMY^y~C-H$~BEfS_W>gBu)rbH;LX_rAH{ zeaA$J_qYJGHFImxQ)JM6wA$P;4%>(xs2uNv1GzsJY!c=b#mUM$^C4bz;^8$(6aBBO z^m-L79U&`)DJJ>%r9RNJS@*liC0kX>G#!9~FLyA0aSx-~5oZE!6n%Qr4$>B;iyt8# zcgQP4kUrFM7F0V)qTVpG z1l&l4BIP034PZFw6<82Q4Fh!y-{PvOmk%OzIt z&KHvo>h--}=4L&`)0{4s(Vjj9x^v6qUB;X*TlPVO8PL0MnHZ;@+66jo3+nD`M;qDQ zb-~7vM`v&u1^`vvip*0U&R7QFW{-B-qVK*|Gra#6 zjrh?GHG$fy^Ajll5w`$wLUO?2H#M6F%XNUS zMEB$nH3M759cet}M=ln_PAXTjC=z)A?%aVhkb@ok3Vp2T5M;c@1^2TP$75_zou1^| zAwlx*3wvtoC-(>lw%!4iY9w-bw|k1@;wk9|XX8ei7iX>R&(;Nl63s--IA^kRfo70i zq4#(^s(`eu%`w{1=R;ZvWHADZ8C{0tG1{up-V*{!E0Ha$YK>`!cLPoTm6!$$bVcQ` zPyod0#qd)BpYZ?j?Z0u3_UTwQY0lQ?U0b1p?_Z?MYl1!jr9^B|=o3E6Bq+TcqRCgTA%;YJO8lDP z54#4X_?e{Ne}_MGx?B7R=|%SLIRhELgz~SnRV+IOWO{L>YOO|^r7YwYNEf-;jFG%m)kY1Goe9rnadXJnmxZGo-TnP1Q##gghpJZWU>A{ zghSw7f+dc?gV!%7J`(4z|7E6MlNDYN*ynR4$lfwePj*U^O)3?xHOmO? zhf~up6GGHY>%N*+X9*e78jbcyW>iYrcw>J$U@}4fwhP~B|Ip}= z9nSK8-^4&8{kVCaW^u1ZPnJt`fOc^p9zy0B{c1L%^(~9$qcgQ4V8N<`WaYd*;;MF( zY)G3HBMQG6(vR^;sSjeo)Y zS5*L%lwPLkX&^s8L+bf*;M4+biy`|soHoMhJQc)pQCeC(^H0zL?(#oxs1=nm!pG!FiUWY?2%TAYbWU0%vwxkmO2X3x~}_{O;0 zrGw<^=|kGWvIkW%s&xJVJD3WqR<)o&i zG3M6D6VDQQy5^TecXY}0YR2y5ILtOXayJI`TQYk3yOnHxD)SZgK00}8qijf4jL+$fI=5wE33Gp7$qu==MbYS|S=^fXM8857w^%hU2w@LdFcuJop z?~g}@Mxs%OG8ieHVswEirW^PC8QFgAYdW%PcWU4R#E?n{IPD58<_vZ?Tc&4*&_rh- zqD?|LO>;WtqqG`?n*3DtmUi#9UdpdTH5wT+Dpr&L z>7gAIO5aGc>Rb9Nuh!fcIi^eI#!;}EZ}6=88eD6xM5n8MPE0pD~DeFQU3Q;eKQ#G|8IdQui-a zrjz4AXWZFmpG{8lHH`6T={r^0G|C#hz3q6n+~R=;97fNlMQy&nek3-j`|Oz~4k9B$ zCicXLeggeyWdG@eVFgU)w@P46=_jIjO~EkopB`pT#Fkm+J~NAG!X2tXyL(v3%egpJ zuLQ*k82+koyqI3UYbIv7vTa0mkVvW2VfC3V^>FejkrI`2@zbahR0JU}Rb8xah`GCN zMrcZ>k$i_g*qPK5Zl)eG+$UyvnA9h6q#nYz8HJ0wt!+szjz%Yq$BTT7>NOct4;wET zg(;!qAAp|YAA$qNKhW;LvuL~ix7pXCC?nY&f{xe`Mjz5-B}T5rsYxYhBVtxb6QLS) zqE7bWS?|VL-7zvaO{A>ow)&irddR)=|4CZU4wayt*Xlkb7o+d#UE2KLy0st@^X-Vl zzo&0gfxpJ~9)%6r$J$qyFV~+SPXuL9Q@UA1r^DtGr8Ui&5Pip}fp0|DwZ43F24GE< zm*|nkm=T;lthFq3Z(OM=Do^Ydr6t0Z z5Piw0!Pg>R4v{5_x~REEyE@YKtI0j0 z{}nRUVN!qpYw!NuN)sS+;7$JlJ+cM9G`-l?Y9^fm?`MIS*rx|pJ2I=|bt4I#@cFCd z;xdTvz^K605u6cC8Vlb?j6f=ft{Kv(>oL zTD>HZ@K{`V&rTZR>~ju`N)j4U3cR*UOeoiE*6P;vx5qp7=eGkTr8r+=N%Xdv&kN~x zz=Sm}+xGqFb}cU32Op6ge58MnRQ?IC?R|f)Wventv;gyr3$x}1)rGc`-#6fP=2z$} zKo@b%hMGqMVa-(!i_A1vjXA4@vCDziK-%UbTWW~g@`PCLO7qiU$r0B>M7pqa5f?;B z>nHG5RHBK1awd=#3lR#4_@>H2G8Nb>Qf?`!_a7gR3r}l*fxCdiev|OtJD&vqlgLy| zsZjQw4F130RL;@(C8A42ayi#_nn(-Rb}qFiF^he`VNDngt2lU%W{W77en=Dc6=NMl z5#KltoUtat#zzu>^?eZn%w_hdps zV8FW}HX2DLfnN{7hn|x)g}OgM*&3{vVKCgZ^#5_v>DuR_iF<>a7 zDMrL0ocrE{mH5XX9fa3niK)>MSaaQK7!x0%E5Q){5RqOE9Ic7DQlW7io9yeAuKrqN zUAf)UvXa^`nxMoOA^JFBA%-g9HtYhq%wZQ@Bg#2sWrDDCJrfT2=qjR+uqBXPD9K4uNAwd(5=?rv>J0`mGwnC=1!vWlfTFLMSY}0Z8f?vW(lm{57)pT5u$db=t-WaX1 zM{J~^=dVZRTmOxc?8a>X6r~q2o3-A{JiH@5N}8vXu3&N<6QD%3^QxtC)agopa&b z-+$dB+n+R2{(X|4(A0ve#%T`@r4xD$a<8vERe7fpOGz%gHl({BX<3J3U7N&^t2~x&qdal|EapDM@}W zywvD;bU`bfwh`QS(!;qQ11Kh!?XtyC#jFu1& z#7{x-zYod2a$rjXB0%ne+k=siF6mB={0hW%@wrx@wK_!I0j zYIki~OJX7Xhu$!HcExhon=CDlmYH$SB6oQ(qC8$}C#l)J~I^ z8qHA0>hBbVS}oM8JDx-R3;?P=lk^Fj2X%9za`Yl`n;8RZu?>EK8u4#47ZR9CotHQh zF5I2bC;WaX^~X8xCr*+Hdl2)Si+}KJ+_627NZcGYEcPXyRw?me3Vu=0vLr zG;P2Tc?}#60l>?w8qx+J&tV3Yu2PUT2Jy}x?zOCz?!nxvcSylZvIL`F+99^X01Na< z8?GoO7-eBP;JSzQAC(DLS`@VM{kVa#*oL#!_>gGNcSpafUTA@s8V<4vH(oD5KPeKL zHv?pQcz92c85C-44yrG32oHOG1>~YR4Z#FpZ3x|`wLXJJ3|_IQ-;qobvwPE{Apa`m zvSUccC0bcE$%T50PFkpR;+?GT^#m12fAMY2PdaXX`66q7p;jSV0={7$^782hO5Nx? zLq^0Zs?>_I08EXN=RnEt2VgmR?%a`5t}w*K!Yont^8S69pb3qrbfpUD-x9eGTlTq( zy1dvUT-}{w)*dfVHJI{;X{wxq{~LWU!>M5+byPpPCyk#?W!D;SknqT{4c+4fcf$mU z@0=vGblpR5vc|&1x)GvKinU>^_}{|m>N96qvEPOeF9o0cnZLVPRy!LvXtm0ZD= zmYSwIoxApxXyWsO6}PMI4OOC+qAy?;myU7tk~G6(IV+&y`ZLJC5eqtn;@U=zMgEpc zpQj}@ldB!1RY1QZ)_NNMH1aI{*p^YdJHpE2?upX!5+d|>q)g=Rh&_T~fnuxiOfR(X z^eZuwaFZVu8`hoCt;(0`K}`2INoq_MSv2dalIiER-kw^i=ODHXOs3rHmQv{IZd~c; zBmSp!@sQLz`pc8YKK>}+H&=xYxouM3n{MG;hm#Ia#^-KfnwD-9Ypc)@zvvk7be-yZbLGW>s5=p zx)U9NxPTTn9j_Ovrt4MotxNA-|JBv%HSCQbh_3fjNtfSnqS0UL1NSrNElZ4j|Oz69Z z;Hooyv#5*}kYi6}HQL&+L@AGDWgu$~S0oT2FmRtHPYl}wY2AZYnSW*0ABHW@ZupAl_+t4BRAJ$=jZG?vqU$r7EkaR zgB>v?>noFQ0hAKQF&0v0#YNWn-$9If1x&CCO=y3G)}Q zOkLlJ{r*Tf#x~v*Hqfwz)M)?+{lv+89#HC%p4Z*igL_p4dwayKR7X8@A+6o25D>wZ zip|ZT+)Mq1RBoRWhQ*(rtKFRUX4Mlg{!zG8@g5jej;#RKmjxN+F=6UK_#}4cTKWu> zUhM^N&?Ype_-}Tn;2n5!NN8U+Ss_){FcN0o8}LfhBNAy32E4(ARg9)~j>BZ-h=T3r zluVjRpX;refeC~Biq|@K(x=#Ta30!yBc@-d3}fa z)$=_>MD`g=o0B}Oi92|_NtD;9=_8=)7e;RVawR~!?H7jYJR%WUs_Lp~{YHK+Sz6%~ z_`?ds@&$iaZD%?V8NKxzFN(DO0*bcEY1sPjA~)H`UQ#oLvA&$Vp>t)6cpN)Y!?p^m?gq+`cqnsB4_w`FTm-S0O$Mt6t?HhRMttex;ugWlb+*;RNgrDkq;Ay3w zqVlcp_&zPSN7sT}pmshJCSSM9ibYESR4IRM)G;J|i!Q-zRw%6hmY%pw zNkAuwg2|#xikH2()FwKsAYq5-hPRB7iL^|UrmUN>QqMur&3Z6Vxo{y4?Wn0Bl2v72 z*r4qVKIBPGM4nXXGSidMdz6&*?G{CqQG_&;p!e`eEMg&Fxd(kdwxTs59fJr-ZDa@H zRNr;rMu%M|-{`B(kul?w`Gio0-zcp^>OnNXn6=(SWt1>BDH3k>F;s}L8fI7%1}Kqe z2rQI(fF6R}9j}U5o%tb`Wdi(>wiL+y>IU40vJK;An#RsRKLiNx<@B;l0Dr(kz{hmQ zAh>KR=2*BqYGgv>B|uw14@!_j)6bfgOOr%@1l|NHB3*#D-_U_#3o(K+m#A`?)8(A) zoXIq(v$`~?zjSF*1$1dvJ9pifUdm|KsB|q?uCd=gP|*Y;*4-Zi6^BG2<|`npJyD2G z59*LINAH7i=jx5{sVIcE2UQ+E4^Yn&?L{}52P6z5EER$XM;Non+EhF=Kt{^&Ud@?$ z4p8h7Xkqj8OmuZ4o3sl~B4J6Wd`;7jE|!NzSlYUenL!}}yq8K*;R@ zqWB9kQdGl4HVH=u(~#KRF`wgo*uXqPE-~9f&ObN8gNKl9>~D1gf!hF0=4mjEB1M8% zq&SV*jv!=6qc&J&1(h2;j6{Gu0f&Yk{~r*Ar|ytJOhQAGTOwWgl`C%;vsSM^s3H<; zBbx2;lY<|uIokaD;(;$L@=|9WWEZi}u2ZtR66sMZ_x_+!?(IRNAJ;*^C#bha*~ELJ zj`^F=&l`bRa<^B1&>)^q0!Vs-+`$ZldU(UqgkfLGBq|8JXPOkvf*q3~6~4*JFw+Vv z&~sSy!3Y4eyBh0sqnq~-@PHJr3K{ma9(vPJjipZ;V4W8K$(Z!rKn`ADB~}Qw^n5aH z(q22Sm2eYwCYp3(U!fC>(T^fQ80!$!yI)N{v*HYC%`gWt_xr;%TK^)Q9TY+P|A0x# z=5GuyJKnAw3&R37hpu*BJ#gI*md)!LHtKijM%)+>_~K3y-vF}qsB+uZL5RQ&45JIR zH01`MeL%K>9%plFUq$j9@UNk{`TZ%H82M&Q$KsH-US#@9gdU8mwpRD6l5=$p5&6*T zv*1dwRvAvVnqmrOB8L-F2)!q8dzmm_L(k!RT~9uda0DH$i*UWXmdq)!9x0)fvSn~6 zfe0i>yo^F$PWkr9DJh-uk<QlSk?B~6nY^Y05H|WsSxw|{}#zfVx z5rKz^Y7ifSOJh|(KJK7U@r>#cao_3^Q3C`*)g{K{)h}_skAT-753uj=>}*f5eUBQu z^QetJQvDfIbiUMW<$Uyj_}8R^t;X4c0$Qs2^yhNZ4jY2` zaJgkd*}TiZCKfo-s%F3o2~GN><0Y4kAe6jJ9O z!Mn@C2hs7h2#w+Okks?xMEfLxJ;e5DT@7O!poRYriJRaxo?zkvFbJXr-vz`e_n}yS zqBg_F6Qi@Gqs&dFn+ApT>s?}ABQq-Yg}L$S_a@^}nMrW3BUGs%kx~qD7CjHt1=<&6 zU^*6rN9sMi*B_FC;SdbhGKYXcZ5y8)-ZMnSLGB6U=UY53+oxMyBG=ik{l26g{QPo( zA~k>Ge)#YD+v}zlcf=@ifQw|78WU~Y|1c^5kG2Fs#18v?~{@1@nQ%9vn#kI!bZmGr2 z%iaLq`?VXG4dBY_yfF(46-W57NOWN;Xo6Jt1^a2$^)u*NLVco$iO*tA`q4D?}jLRU}F;Rz0s!- z%16vxRT6>MMbyi2EKiGp5X{_Ma9W9N$eex$Mi+?rvn@G>jFHV0&R1AK4GXp)?WLbg zo1Qo40=R|hB6CuS5BY|jO}<)p=E42`At_+*DcWuDDS8Q)Zto15U3f6+b8pIWNtJ70 z0`eFC<|F;|xN=HU#Ie0)RAlk0>8?spHZ;AUdU%2hvhN9Phch}PcHJR)^N z`dl^)Xr*LS)kNqwhfXOm%0-Fejtnauon5FNbn_I%B(plRC7z{7s-n_Uyevz~-9jNQ|#|8KJLmdS&_j8(w18gakIvVOR3Pv)9=N$3T?+eED6_E!W{ z!HzH+F0~uSZKmq^p)bD;x4TBQPhvbl`w6P9M+vIj2-Lb!?1s5$+GRf?B&DkIT{6$d z;n&C6ucphNFmD`MHvF0lC%a02or7C-JM>p7R>usR)`kCGQTe&3k=R6o@yCfkdsF@= z*pGW7CFcn4|1WbL=UI~-=VfB;|ASG-`4K>Pvfyrw{B|l?bqB!}f+o+Mj^e^gFq6+O z?KX{x*|3r9imo9FAT(F*qU-%Bb-bu9;ec;7Q|XnFN^NWLfT#)J(nw|Kuq{Jv&g}|l zHrJpVgmtJO#rB~n>#bsgriOW)M zjaII0AjQ|6jQxYX6#@&Ch!mj#Nve~PN3(bUq_y0F@yZ6mhW@-(6iiwOp6@HT#GpuE zULZ7)YFoqo_=C6>vsH`L7xv1DZBf%=D#=??wZrHBg_K4dBHz__l_~xoO{pLix%t+)^Y1uW|#(U6war-=C>O<7JGPR8PJL~e4 z;nVB*A9kOIK0W!MW0nm7FarX9?&t0O4 zx~1p}cQ?z&U-{AkEzDOCPJv<4YXT_&*YtUsem&Hdo#aUdI)&qGyIsGZG*3`yiXuY4kN`J~i;pw6o(AsC1JLNr$tB6N z3jl&ze&W38w&wJ;+y2@0B7*vLtHxv8cfgpGZc4-7v;k{UI4m!gt}SrwHT$vO1*!F# z(9s5Nkfs}jAa8eZaR=}3G_~UKccSu;kD|-R4HMn*=>h3#yv5$BREhFG1k|?gm(jN% z{!V$poe2MKXU^3?_Xpt1K32CuEd6Q}SE|cnp!4%}Jm~{V;9U-I7nCRutK7adV*5&> z&1O31Oqorr{dGQfAAT?cQS39DCvW(dXBK`#wXMHkuXF@PR$1zggR+RGL0NEL*eJ=^ zLmmJdO`LnsErPOE6U0_u*DNahC3j_P=j*#N?mlgxSGQY4?ab<4ysaf=Ahe~v%iV7& zI)r<_DLu!j{9$eS{g(jmkB6ij6c_X&W)q4C9Garqd!W5KH#&gc)y?BcvpHoedIMu{ zNAM`fXwwHDfo4bi++*}Y(P0{^%=p*)3lC#|XjHk2;$-fkP58sod2aa8M`jY%pETtk z37`CjD#iN`RchhD7h$f@@BlQ${mmDVZ8>RyD~D1pKfp>QUv77q_!i7xIcOQnE7FdG z1uX}sofW9TFI4nDfKBr=Kydf@B>UR2PNo(pTs#T7&8Hdpgu18u@-4U_7Yj4u$6q#39bKwvpQMpw7)%oa#v z!9B+0`9v&8p5KgnEQ_RD7Q&Iv$In7cjZxH}#dPIKBZgCxA#k`SGW5P=j~4OUBMhUC z;|@mCs?7x>_1K-dnoNLbpb{@G1xu#82piGMismqJpagIu8@kA*P1-je@?VWm5%$)u zMI^l?yX~^t9=Q;URM^XM_)njeu-NzZ|oyQqO`MsoEaFE|UiCwY z{y%Z0c(yY$y(L~}GrcePA8@6gh&+Q{EM7epKKb)lc>N)jho~LMN`Fo~C@OkDl?Odg zOg{RIc8TtHeVgLvdz)D7JOlRa>iUiO{`l z=}4=>R~GZ2d^!Kl9FypLkcO#jFmW1p_LJ{z@D-)H2X1YOBa?Ni5y>*LtWQ`YDBVet zG%QJy7|?b&Kec7}kox#IWGHot2w*oUoZn)VlQ?4{Qg6)%c3##9Dpr<3-O>aQ1tbLX zJ(otjqZHexX`#-4&_3i1lJ;#gBBLFA8Gw$%=Nh=3vMjo5H%|psVb&{_;3>Q~3(;%M z%4PIAT{XCnE)hs$8VeqlvjPpuvr$Gw;IrSV#k_H90yzhxJiw@ayz__|t>J|5j{yit z?3Rzu2>EAK*n-r;f=D!X9N$7@*Cfco@^TXJzRjGXSUa*4QZmY*JHzB(6f}drKg_>M z%Y^1UWTVjN@xQ?-wHK!Xxg38Yvd@#_9vB@$0C}l9zp|RReq(OmnB&|6>Q-@PQrBA2 z&IsApulD4EUt|#x)6qBU>E+Si!tzi-biN6D(R2nMv@8x}ho$ zF)qMk<|i1=%c}w<8KxLrexL?BG!MTUG>*GPo1$ccpw_nnp_KfP^p~26tRH}n>~^Ab z(g}%*(G=)S#M0LzBlc-`tJTdb;<*lf z!=!;5)%!})T@Sd@h+~6EModMqCR(;DNL%xG_d&gDKB=|nESe4X;M=wAr1a5RdMCko zsPy2DMYL1;RIj{~=si-RKbUlFru1=NdN=>mRp~Bt_WoZWLVscjr#ZUKRfXUka7(r8 zBj1zTf5Ja#Syhr6;n|~aB|3xWMmsCDee~b|11F?Bo!R7OiZNWu9N|lXGsNd_d*=Wf z`Ff_c4)*Foj!&UYGG+~Dnri7U!f6$+=ZaJw<%sTKr|h*$e&JDcT}2*alcw`U^AJ;8 zvg^+h4d;QRBBCYqZh_P`f&i^3UgXn32&<_Ubi4&0H1H671hePidB|YL1bVwWKC8UZaWES`j()@87;HB1u#YJ)fbi!MMQ5^rIRu^pCASh0$ zPDe*rw-WGMBZ!nIu?j3171)TIJyFLTF^<0vJ%J9Hwv43_EUMRC+=_ZFnoYHO_>!2( zQoL_Y9Vk4zbjy|*ZWiO4C2uQvNVC(lnrcf(CM#P~_S_3O>=oqR&2vmGzxiq^UN&OD z1}YRyEDJZPv7}?XrH+kfrQmcyc&g;{s*rtvjq0UI!0TQiaEoTe$&3h8NIysrWXrD5 zk_nW#Y4N4eU^Ahx<{2u|s zPY0K9wC3;%D%GF1WvsOTb?3YZ zkB^y3^p8#35krCv7GmW4j#GRM@@-H$$&QS~tK`^{f?SM8+=jJ5vS5c`hRGpK+6Zg8(e*y^ar zH>_Sv&eT#RqnRet$V>di8m#}c0;vLvK@O!;v>qhh)C29a{Xu;^C8#5{p8XW z7KYt`lhp+t?I-siCXU+Ve;!~Y(Nuxw>-h3DSMHH}UarySUR+5%T z&*-(cdkUkwHhv~~jf9xStcjs8TOLlL#L60*p6V$T|2zJkun4VDWa6U{n3E!@PIR;c zHn*fz&tWdRydo@J{IBBlZz=MbR(Q&IR=AdQ0V-)G8Zl~{i?7(? zAG?P3lBTRF-W%!cecaKNLe(=8+nTRiSw%6iS=kw`XOanhdp7R{eScqw%|YyAWjo8K z%~bWcba>P^i)qbieEa)u*jJsn+?K_+&H3Xp3ry;dbq7hdJtDYImgPOR9?qx0Pr z`=ybQ&8&{dp2hG52b1eZVn+Gy84Zc=)y~4*LcE5E+UhxlOB?ivfpy?5n8{-D$y_eET zOVgz?d!eD(+x07&e(%qN_^t9|`7U19E@=F{FV+5Gvi^psHQ_vs>u@1l^sL4=%f#5V z^rWMp$E6Hn6Il75)Y3AubBsK4AO*u-vf>-6(mm5Af5(}(Z>XU6`J zoj85?e`f#JXa9Fr@nZfo`0VK=&L5uC^IXn>#n}9ic>EM){0@NDv`Ua-OVNS;xRF|c zv@|Kp7|-ib#;iO0%eU)Cr;VK>3;$%?Zf@ES{y!J)vzzX`q@-^swVs2p$v^-AE}$vn zw;KL2UM1E;$q@x|6WL6xCSKL}O}~PgotI97q6vvyullxqDRhi&o<*1Cd`gEiv=O zvwuIiQS=|$*CIEY4u;c)zTg_EP$_Mt={9q#>63>KMFDj84)gN*;3-Gie(xeNz%RGTKV0dpzR3SfCSP zKUP;q&3E{vNnE*a(N5MXq2p2F!tUFQZEI&5fi+G)J&0Oqnp4gnKRvO5f-~=;sjO4f z05SReQ3;5lC&}f}_gF-UoB&Sv`YRcmOKr?c!JSzg1XWbnj+y{d{8HV$x*l$GnWkoA z=tqVK-QVMH;ap~+0ykH=cK0%h@2{kr5{NBvNw$rNa7luMu)`F$BM|6f77^^Q-j-kF zxD?Cc&#W9aCdVUqODY^qb(|-f^Un)CRG(=4N}i{~iQJ0y5jEgzArI##i)z||P2j;}@3nk6)s>x4G5r*F40la7+`J&cYP;hrcyirhI2J$)WWLrUw zxM%;346QK|%Hi2}AC*L4d zB&7;=(Ot_Xi#$6r0jVxa+>`I-Xf|Y=A)C`~S5u7tPn!W67KBz?0RZKuSOD_>m(A!p zx;X3pXEYI?e#SE>J&L@L`j$XM0*@YOs(nZP5wITLjBAKRt;L#*PUNxds(WhYI&inG z4yAZ-Yj5Zn+h`1rwI_aZAGHTxo%aMW%G};<^=v1+2{=FQ|0OEhc6G4zCtS2M?do?|&yP;Ce;onW8z&oM zJ@zB64DA5{7u&LYoiYK}J4N;%XR>8OFZWM&#`fF>uP<_zZ@q80Kjz%G245fF09EVv$+zu7DNI;HeO_WQlm{ngbk`;q=5;jhY777UANW*q_lE>HSTSNJ}9 z=tYYvmw3WteY^xuKk_T2BZZV=EOeIY$BKl?)H3$Uzb^!D^ zUsnd|!^I31i}opZSyn0<5=E%grT3~R>&jB__L5cD%>4VxaoM#XAHus+2FbVB<#Vqu2L zB_BJGA!F+d6zTHYQC8Y>PiwY&4mG?mV8v58Z+mhMGsOLb`>$LnqGo$=eQX*zoMB^d zY|Isxx?~l}w3l4=euiqA%&W zUoEGi%E0JXgZ&VLM^}XYow3s2$==3dp=)vo!&(Tx&%U*f{wss2+!g>7e^%fwGhj2n zKgMd~_y9^wqp9Z1jrza=&yte+PQbxcFWW#vBu7?k@Yh|dJ$ru4wSoc%JCP%{!Z@2V zR!9$?%OIX~#smhI$&?SzN!PWOs0pN*FqOhCp1 zgeU+oWenc42yrW=#KebG)%yis*T%HQLS-Y@ZnIf|C* zqg*1_Pm5s(hXD43!W(2k7oHBCDR`(K4=6DIf}g{$nwHg)64N2)Qc!|gPo}Y)x%77* zvx~kQ{_?j$Zai0tqI#;d;DK@WqMvx9!J7M^KzrT2?I*8GVlfxKUi572N%5LOBcT43 z6pjp5Igz9}9#(mE8hZbbMxsxZocm1O)P6tggR_!ni2DHdlGGSh3GfHn-Fk+XDHC_qkq7*QkM1w13ww8D6($Jw95C7(&EzL(vIdw|qt}T7A zo+mK~tZ>p+_As2Rh5l~M+V2rIVM4myU>(ja0=6&=4?*} zLNiG{NqhyiRr~TcQWYy2MDXe)elx3?1*kJG=|Z9pBxZ-oOvF_LB}#bY$FsbXo5vju zPP0g!yCniiT-CWg6vqWntq#S_FVYNJ-|>i=oFbZ%BzDkElj2Ks>i7}Q{L?k_+6Xke z%_aEMX@0komg-uFn|Y$cveqFk%RWtSQy7YWqyZ8`#5%N7FJR#z8=)$x}MBvt_= zk#~BoR|Uu!Lu}{of1%I9gr^HdaXvE}DtPIX(ZF|JWNNpvucLp7depD(sLqQ`x-(|_ z0Te^>HGCFgR0vO1Q`iP6*IWmzN%odhDRam1tT#~+&9*RK>wX$5a#mB`&p@|)`1(I8AU8c(?coD`}u*%r5N5Rq(n z;lng7NMB4XLvY#*iBw9acv~KRPQ#idJOwii57#o__!JRkGmUVm>T;EK9t8$9We7KK z6?;4Bz*9AR!WGBl(g$DnghsK-eMSkZMkp<*ijHS``bZ{H6b6@53#m}YsO&8of6D(b zy``w4D4y)1Z%ynAb-In27pI2B|M;%pi=uuf!Rpnrl)3B7kcz zj%dV*evbb{x@R(kQT?_R*;n3@U4Qg3S~z5chF+{-_z#J=sleh6MpeXp>O$^Cf}UQ-1)MXN1u9rC^_NzkYZEv@SFd?MtozJ0 ze!8g!3wENUI-h%K7@Z}`#5p`X#+kn~J^LWGc|p^!LcecU(P}2iufvk6lroQ*rm(6o@&J$g;`T zNYYpMpCw4+ZHb`mlIF86Yd+O)!dk(HDOI@B(F^}xuqH9+d$Broz4d0z&zN8x-pYR$ z*Z8%ZwTJN2MB&3<-8*TdcCMS6fn~~(XI$j<@7z>tPosfy`i^s?XY0BJ9ztC9fGi22o0PGy{5Q5yE_Z) z;=rEpkYTF^9~*oM4{%yg@nqP+dAaEyv;9d@BX&dPPj63&{ZG4^`yx&vHwINGot_z{rXw5pBI)W0Z+grDpFYq66CN z3QjorOxkKIv1y|@bpph&NIVQ;L z(tcRl=Q=dimmVbEnGR6&}^ znu|Jo$r7RmnzdAS2Yr=@-Fg!wNgtNg*YQ;Tchq8{Vmr)wBHHW zTaM+4it9ZrdOJI};@>B|&c(XDFHib4dr|(D92YZM9P6x|)t(#N>z{wEM5)!)Y5R?c zNyLOwna3H-&8e7i>Q z*R0pi^Nq-^nOP3(i0)7PERx68`zxRQA;-W9ak`U1dub4}xgUQ>-rSMNr(ot~H2oi% zUG9&tuJT+L4K}!rYk`u-9DWR6%OT`&Eb%oDYWDE%{m1%U>dHy&( z+hx)H9BoXJ^?O}<4HoG7_=+rE?=kW7)#&Pf(RDcc^j};Jf?1=wR!NRTUWC|;xy23T zyU56ke3*Y_M6Nt(_uG_>-(Z@${CgR3nL`>w0pXdLm9eb|B^C@`#$un!QD->bZ;#YRo61Es0$ssZ02YIbz{A7&~W3Hv;mtNla^nGPR`n>MA&q!@L;CKQVp$Etrh4JMw) zc!-)gSYKn6Jd%1qaM4;8ivtFwi07nBKy$eq%)QxS=;D4u%gT6}QQU*%{K?w^0a9!V z*2$BFj_z?gAkSM5_@k#a@C|ccz>d4Cis2@(@mUSiD??yT7rTXJGrOTb8j zp2&*|O7ka`j?~ov%KtgkLL*_g25i7%8lAnJ;%{H(0sm^;f*xGh1F$UAAY^vM(167U zr#~0UbrA?3+DEX7w^+HH$iHQ>Lox3|zZ0Qgq?>oZ%zkdldeQF${;ds1sa0_jfE*3p zXkvDPM7-BpFeAu-*Z`RH=>#O|>BEWZq<$lt<%)bnPq+y%;Ym>A(ppduq4fpH#jp%Q z!kVJl_Bf0g(!r!U6iFTNnzL{zI@85nF5PG;Iwq?WCd(X*UL-n$Sr#!Oy60$X15c2% zRvjoV$x-&BP=t0INH28__{a6YKcS3a$AykceTpyc$KAdQNKiKM5@1;!C%WIFd{nrS zJ;ta-7Uaj6&=q31LCrNuYg0HD3g^CsewXMC?uk&^h zANljU#(|+P+Vvlx{rx6mU!K+}&a$P|b+(D1d zLAloj5wPBk0nyG&uZMN#q!zs>CeTBgLpSz2i03H26tTvQgCFQ%$KrA}#O1EAZ02SL zQ0ap0O!GtHgw5NLXQ)en%NDX~{|*6y1kb9z-WmP_dT|1z`Fapm*9GJ6p;U~!!YSy5 z3Oa-@4je#tVjwoYR>3xeDn>q5%q$csxjaW$PsHbmzQlvHH#><-&S>Rk)nCJZ>?8al zW#OlBZHzh%(yMP!l><<*Q5xlcSOtVqIg9J4{5jNqUp!>bgW^i}l9L3; zj@D-^A`)8p8I&ye)2srRIKGJ596uYSx(@lATMsq2`wsiHxWh+HwD`0FX{$31u6}(~kyaHPP_>B`dWgA7~KZmvT zvQ96-WpRaH+hrpRF69BYPpQKUM0p^-B_0iH)o-D82QMRc_CW{#B+V2^eOP+aVGL^T zKx!(cM6k_Bo~R#TJaOKbvCVVd7~}Fd(P;XIZGh9BKJuF3JQWVIC^7*)n$^D`L+@SDMlzLRm?CHsL7+%hzFUZ zNP{}*P(ac(F2K?%pFje{^y5>*rG1EJ^#|$-*fc4m6naP-JnsV(vHgd@oB{Ql zY8j5T7y-%MAn`0hcdocQR^_uudd?uDg0T{g#JDrzM6V=Q!iOQ7Q_UG-xF8UD|=&sT&Oh_aiS+G+&@dt_cQ^5Y2FTiB0CD0uLHXow1Hbz8AD zfCMiCy1$=G3}V*!^7~epx7?NJa0$yywf4`%EQQA!5S!0JkP3U%;FJ#`XnM0cIK8$Q zT<06uO2J%(DFlea`5hG<@fXX9`4W`{j|7W^^Xe;0?-~q3t(+pBVd0^&0H6jaRpOOW-NKn)RedI`mI~mzy zcR`PezF{@LpF{DK28NFdKrEBG!0NRgmd;yfj~VnsBi(D&PCtPhYr z;U{#}_+W~-q*}sDwXp^!=1j(Fp6(kTUx6nH^h_6zM?kMMuCZtmz2KYHw3%C_AOX6A zx64da1pagWynxaleCbrQf+{nRKz2M{%XDSBotplC;K@iXuN?4Wg=wIHofFXEOdPp; z2v#36qoiAxy2(8hBl=Bmy!x1hu$g9d#J4cOtlDv8J5*&V6nOFn{6OfA#h0V6nBy^o zq7ev(QaMb3KW@e!bH1S4@w`@ZJ($Rasx(vd6>NbgFcd^aF(6^OgOV@!AA<9lZ?*RrXI6nbdp6 zsN((CYl=*T0VyGv^KqivyDR!DqNeRNf#UGQbFSWgsLX^FRDvBusWNwqQ2zMM)Lq;K zrK3kzEwUNq;d>eBGDzdJD1Q!KPNs+`+S(W$M0B8%DnXxt3rOz>dM%BAVJz(gi7Zm$ zv(zD7Hho~;(^g{Y=rwc5Xf?>)ZX2tz%Qd1&uLI+i5`Y=EyXZ~!TlD&t1Ufp>wp3og zY4D*XOkq-k0=}89D#Ai#KN{|afy*hYpK=hg#)?A|Ul%~xSt+p#lOf2g8t|6DGNVeX z^$1rHeK=?uH2~u|*+7(Vyb7OSCglGt1C@Bf57A<`lDp#cfXfdVBH~I3n)MC&05&1a zudo~Vs!4hXy=Nz?Mu{)OUcI;oJIRU#2?~44)$&{;SV47O>fV2l%4DLL9%q8|nfxbx zDDUK(X8s0*W2ww&)BsGWW*e!PkI5c9i@ah!>p& z>(Zmc6&e>c)MQxA&zK843_C?cmN%fxc0l{;S5fXNlsttkX#Ca4$x-KUWhmtUhYM;n z&4T}R9C%Q03mB*2R1lYFpHd= z1sY}kjpDzgqZ-(tjY|(w3<+%R`XP7+FtuIO%K8mW`sNS!^v|X415d*eKth^5gqG#+ zLlODXaB2le=~H8_SkM^?r#!e!>;%~D+0)xVE<&48>6}!M8D(_bGQZq6AhC?$ z=$f6_XwnpPJjK<=GQHlE2uII6o$C#})Ghw3k3y8yG5`?EbhwL^9;w%jA003?H90KhbRf=APB9=XWMKUB9CsI4eBJ`Y;-Dw;tqw${* z&5}}X@UK`7|AvBJK0yl*Q3iew1oGR4A{bojFooYwIV-mprWG{VU}f%L(IfMkq=3+4n)Vdi!+G^obygK^Mu{<0;#b za`tx*doWYzaee*n5ijh;2{4YMVGd;bVTn&=;qT|Y;qT{6iJVtE5{W5fG4XNn(9|Jw z#E~Tfx?lsEmmxq&MBj+{oYfAuuA~#6z~(!nYGxYOf@~=4ftQw-C~;>%CzZ|o3`w-n zT=blEoYF-5QpeYG^KT+#weIg#4s-VB7h>r6A4RUEc}q&@fPZ&>>CLo+i0q4?x7`}B zMrQes-ICi>57}EjZMgpby|{sh^e?gceK7q`EWYp*{a%zJJFNXzzMs#DkmX{SI77|bBu?P$VU9kHL1j(3=L1%hHp63VEpj6JnR3uI0w zJu~W2o=9L-#?gJIK5-*|B{;eSnwU0PNtVCj@A=jIE|HnHHcv| z1i57wf|`oSC>A1?LW^aj46p;NtzkrIS1kBC_5#yjy;6LYK(G`yd0>8<~2 zS91SW(HiH7>VdBik{?72$~tZlJ=~P&apj=7sEl1BcvXK(S4CC_eD1fV!#M}vuYJg+ z=~pDzkkqSc9*X9YaYCDkKsj7e2@Fnku7a+pyFni;+}?elu$hUff|j4W6dd2!1>(Vn z_QiM8<~_yVK20m~n>^~i(uT+jg={@jVgyLauoOFbg}<7*a5+X6D8Dg)Yr3bgR8b9y zND_@T%3<^vj~n+j`BYB-O(9CSqoe4#+MhC$@xI?kLTmF0!;|FV+9ePsB02<>Qn-?e<+68%@!wLmGdx{xBw&lgffS66uv%A79E!!OO zh$txb&vR0iUD>s zY%@Db?`sgv+Z$HwUPoKr)r^J}en{RHjl?2E*Lk9pDQ}}l@EeiBnc|jP6i{{YI{>Tq zi#md-rB^K@*antpLxFxlrze^1til~+5cK;x&F0J5q^7He1d_!zM50k z!DRrDE+Y2>>N6v~D6j`_TTUA{aNbFMITL;!N+7GZLVQLq_doIG5AJpDB9~jCBRBgD z_bT{t-Bwg%jHHzs2fJuoo;!L12?hh&b2*tQ9?g}5;xnD4_~m`!b=C?t2H#+6x__fe zqg(-R?@;v}562vhMx|%SSk4*H(vf>9P_ErM`YJN049{ZW+1ZCbE4Xn(;Y`sE$a{dW zWI8aLES+-oF)e89#nsILSm~d2lw*rX@92J%!{#7X9$g3mwFnSm5YWr8I|A}O(&_*x zwysz@erbK>|ME^-O@m~GNcR{_m%kR(rBa8%DHRte%xGIFOz}e4+Wuvb!%quA4wit7 zHfbfk@(?J-n<1`t0P`l$fzl_8n0VvFDg3ssp0W8=aVhS4eo;le3z!OWmLE)4o#|tFbMwZ5>k)G;Xh5SrQW@nH=8l@r0{9X>I*uS;gT3~vr{ z(isK)5DNP4Y$vDKIt0|{TDiz`jr4U< zdA0>?cu(P9|8V$=NDHzHHwYQ>tVAhe3r1{n{jkdLb=9mmr-yK=spJ0cdik}4`GDy_ z#M?tb4GBpK&P4mCR%vJoe^EyWvyAPdes))6gQrNnKAjjhiGD!*z@zPXTc$7%xc)|1 zHt#1${GOKu>|1LEUz2)rT|Y7^B6(%`2w%zQv|f04=goMcP56Gaj6bPGtFYmOFD zrsEU|4wvhpZAF&VD`uOtUbI|`-)e;<{JIePH}vDr3{!e$Y`2cH=Vx5=MB!$vuF{dm z!_&>q;eO17DWi>6Vdb=?!Hbky66)oN`>D(Hzj7`3BRWEHmJ>teybFfNeHsQe=08@6b~V|P&OF>E<=40TpJo0zl#OdP)W ztJ^O>)(Ng>=r}qapl9Q8ZwkiNWLl#|XVvAU>l>o6NJPDhpHR3rtpgdGjFJ5I%UU++ zeASgq8pjS@D}Mf)-ws1p)>5;mgO}F6>Yiw<)AGNjOt%r8MWjJzlR{su$~>a_kVBrI zT!V3nvyU{+X{&Pl=&#^U+$gv79`rjkm@uUjI}+A+s64+}Za#Y01wkJ?r3&E+P{@ri zPb{&|?d}oet0s2S>i+WZG$m%bBguC8NumF)pT5YFYzJ93cOD(9b>%<7wcX9&?sp28 z{-?Pa)OSl4THI0hTk_NY#J`fcGliB0>9#u^Ic_38Tx)E~{KW%;NmOZ9n8Cy?;C%@B zGISVp?C>NpYnL`yNFUm57z1Kr7S+?71g`!Sy2Oe6G!(UgCKx@V<`h^8#dLduSStPi zo~`Zw0uG&+eHS{lk2~y$6~w(pWqG?GqVJY}Tuo%JRQ?!Qd%J7${a&5=jn$F4mraQk zC%~=0>X&sD^6m(iZEE(3uqi@5TKQg)(Pu)H&aaUD&tRUjHaA_WJg0r5M5`f02)gb0pL**S;l6!pUoBV$Pp1 zYz!#?_*g z7(||y>-NBU$i<+3PmZwDu?yu6qoL$H5Km;cI{8W3g+d0NV&xk`#|@f^#%)2_#J)_U zEKcft%f32?b2mr)@ATgQGdva#BRoVxR<2+wRz;tH1shrRSk%EQ66tvO@=tS|eo%ve{H zPRv-3ET~>&8lJuPpiQqzry$>cj=2g zn8>3v0j3i!$iq&gQaWL<`Xu2R$MyqT+1=^QPr<3!@!c|2kAH&i*=cB`5KSc@Z)E47 z0ZVrX@L%8r`!w6r-g!rN(>{s{piZP`ZX5|n)#0n zYc@34j3}Foj1FudE=ax6)GiBvcbCX$9aa^GfAS}^DO9%LeI9;Mu9{KF?+n~ykr?xk~#4x;w-?qkOp-xD8=%_u`(QfobS%mA6TR+A?projEleYBY0 zPIuE{6MvDUFaj+e8VEd<8pOcHXW4unmTxbMd6eHZmA2h*owzsB-=cSk(HBk+GNlTvO}uF*4T6bHcv< z{yzhy?DtCwrtDv!e1=d-{et$tF@sVrHUuEkxtS@uPh)fiUR3y@zyIS3=n5Z)EKW*N z=q>&NOcF^GJyJAxa14P=9WUuW@ka)9wa-)J7wjV6;(KA*#&3|Lf>#9Se`>Pwm-WE$ zQlG8{D~OibNF?i5zUZJ1psYE~{dMr|e+?-5WAXHTCy#_;Kz(p5#7)zuc+o z_Zp?8yNOoWv3Ok5=uqQ7?C3z7{fGO76Tgy^;*?vVD$@ChwDDZNMSzWsUw?}LBF$>Z zNh$I{4-$t?CpAa5S%EaEmV*Y;Yqb@W_vfv`$uBvZr%wr}5Wi7ekFSA`*=ye06a6hs zM|=d9mCj01QsLrX1E(}-ku?JZDFyPBdV>ic9DjqntGcly9U+<1^dK(${+9sVhlO<8PG&eGFAe>ywu-j}ds(1^zPY>`i_K zd>-sNz=!Lz#iE0gau~d6=sStU1&2JMv4yH|(-C>w2V+@+lYolOvgm@}AlAAY;5GK5 zz1IJ_-%PjPliQr>Zoc201^N?Jja!p^56jlw1o@z>L^m(dEtFumOO()FJu1$30>pn5i~2uGdk?6lzHePLA%p+{ z0#X9fLJ<+^U23QzMd<=k0@6jKHz^5CK!kvRG$Hhkbm_e}L5hf=p$pOk0g?9NIp_b* z?|}$WFlXpjY)8 zDctXxRrbbrLZj_AFbh-3aHKISE~gvL&lsEocn|b0SdZYHfYU8{bhS19sDK`A1xF%D zhI?uSJChi3CCWnLCK6cryM{UT@<>Cu3*J3RiaKYx2X)|UP#QgtuBqDh>=wu6Y#0*f zPKl7uuJyJ@q12|3^JBNp(gI89q{|$aau9frz9%BIZfBsL-~Y=CO50|C^Qdbc zfXKMO0)!t-)li?Ru|7%w8#rH8UdM*aIrLX%jK$RL9zd7)B$0(DWgB+Im}5@AuKDh7 z&%e4tT6-s;ud136+H0XLWvs$aUoJHAksX4zEcKxY#*L`0Nzg5(VGyTtAI4E<@W~$K z5U^PN0LdWd)kRSbO}j3Mb@XIIHk(lznymoWj2BML#}y;9Gr#fgj}Z})kU^ruS&$_!o^6gt)Va8Bj)Re0@4YsN=AnO4OFk0PoWB~gonVFWgyv%!LklLSmAQoW|Fa8~^yI5k+eX0}wqW7i?g^4Fi~c7aOlI7M~EY2@*adl!b+ zNDh#xwtZ+*wN>B)yIHhBVzAP92xa(GsgUZ6(=!C$oi2&0!vsD3VW3z*>vCP54RV@q zO*yB5QUEi3E^ogD=o4t%lZEI?!+wD^yMswzKH zl05wb(l=xL9ks~bO;C`7Au5-Oj0YXuo)4ST%<1>UI*!qg(l^0LUt;rR$8N*&)z$b7 zODs^Sj|4UD9Y<(;QaKj+nomp&0?E|&kv!%;!DR;2aK0H2r828mH$VO+F~vv3=nGaA z5!*IUHu@Q#VkCv4ff6Qb;CyZpmLxf>a{3vPd)}{;CE1*Gq#TrESql1^vjyi%xPuZt zkwJTn1hC&eY1B2E{i4LhZS?@lsPR!hOOo$`wRfT=fWh#!G=9^x4V&Nurz2(O9YR{5 z{Wg@7xP)}+8!oCCdN9IuXXqLgKc!4l5Q#*5k$e1iaH?QdohV0p~S=>FNqDx$iyucjrR}P-eIX8X%Kvb?){7flavdFi@2Z#h7{1e4iw^g z`f$}C$=d9Z(}DfhhZ*2@Q+x*a5@w1&N{*jh_uRXs-Rv(_3+L3S#Vl zO(y8~=qI4!doy;~y+w%EhZ3;J0bzMs3d-tmiO}c90B;e7INe%@@M4dtPRmE^$f8t> zLG5_p9>s5PLa1QAv%;&|GFxQD$8Dr^jWmLfNFFzv_LC|h2&qBFOe(4$0>&qQg=l|I z0>*Hyp`RQ6LTZux!e}0zR1*oMiWNY#RmG?@l8RuCKb_=;$@|Ko*W93WK^OWaxBwGT?mogqGhbVQy_{PmFgSf~c$jvDw=|VqKfD z*9)a_x_VS~K!3JSUlm3)N^HwoKPaXQ3fKlURI5RQ z+t?LDWrwc9cK7x!l*LxKk{+>=@5Bo~Y5O@4ubX8Rsx1T&pTaQ@Kf^iwy!|xwCK2;+ z_EQ($s{qAdV&B9o$YOR!vN=y&`%vcELWcuyF6&R^50-Wgi(wYHCQ(KT4pQu$fFmbN#L>;c2pa@{2R>m5rX*K3x41O zGb{|SAm!O0dnir=*Jrtd-(mH~P_F?P*IFmcWmu|K)&~M#KEqm+bqZ_XB-?BVIC#^9z4S%m~Z5PPR6!( zUK@)L-h-HJN?^TcWszh~6ljJ~C^;onxU4Ch|GEMSt8MNEd5jdVJyb5%p}L%0F|eRZk1K#((feRIfx5%tu&6F&kw+yJCiex2O0Wz=ph!5iSBPR zV2;vbim$)YCVgI1HiYO_oqXIiK55qM`%-FGB zU#8#W&cbz{U&h21M#*7Cx;pDTD1#8<%W$-rdI!>TIfjF6(SyURVY>MxPbw_m`tlZS z0|Wbhm6Q2c#3qciA*P-9OSho(NttNN0`?Ng?b+GPqnb?HVrmpM3^h(>(MY&2hrK34 z?MCL`X<^Gjx(xovh&}#PG#oi3K5m2XpbfBD-vpOz1&yPoZ5nR^Nw|JeN2`r&k~o^s-1n*Cwe+=4PUIa4mAJJzC>x^E_f z5MiwXR2mqklTnvwA{=fZOri$iesrK*b389I*}V^4OyC2Nt?3XZ8sE5s-_nPhZ?@sY z4LVS`{&k2!}`3}{PkVl#QvYZH@(sM3rwn5~Jt^kaRB~UpOY#MnFVNp-) zEO4StDx4O0p!%Z$BoN73tgR+!()r7jo&OxeUBetGkjrl->(%B*@>>2bAB*t1k4F|$RO9!_ z*)$wF4)dD_*5EF&mjvAatGfmjKSydfUXYXmj*0Bmy>TshKQPq0Qo8(He=zr=p$HIE z#KK4@ltB(;2TxqAMkGy<5e`I3o>sy(NF!zWEM>|9U3G zV6wSM1bDZ3B?N89qG3D2?nQKbD~{|@F|jI)B`ArkD)cg36f9l#-TYQt!v34kl$2IcsQ{v_3Su)P}G`( z$9{WP=~cZV;zclytL<@@guN$HNlKw}?t3VBKhkz9Nl}-{%}Q6V1o~J|&@kz=sGm3q zYO8&QnKfBqA3d#1?0~R+op|EfJ+=+9j*K9p4(j4P>P~`e+ze#8ER;k{L!5CDR?A0w z-R%0`3@-~0q>?*I&UMy8eEIgyqPYbAEPOyzpBQD2*Eq}Sezx2zz+BJn#AN+Eh+wP# z@>D6A1-h~_1F`A1h6+H}G2Gv)5e~lQ#W54g^(Lndj)J&~0l|FpTCAP5G&PxuJW};D zSWE0t6fXj1(p?OGoeFVD9Rxq|3$W109*2AuIdmwtM7@9u8Hzmt7VbCqmECT_x^Yp3 z_e{djajnSXQ6lZ9L5PGr2;Ha`po9nBT<(S86@?edj0x4n6GGwq1}$q;Bl5+lC?vun z$RZZS5AXZQe|IbcA?pS}4!aN6430Y;qoFf;^$4L4jYF`aF``gw8EP_LE8*cGP?`(B ziCz6NC}ImNn(NPjkBF#C*g_HrU{ESzY;IA|^q-ghY-1)rF)|`@xDqmX;WsD1@x~Kn z2?{xM>LfqucsC}NRKf9ys+F+s#uGt$Nx0n8DtzKqr*uD?*W3pRGYU_R*Gq&jW(jSb zBIo`j13O(N6lchmOHr*rR4>qbW=`37ZVt0mod)((v%q~rJVzz51FpS>5<(tsl5CEuSjNtevKx0MCWye5u+fQZoQ$^ zrRzvvyaf$Nb*0dBOGpVM3X^uogpPJSAU1lmsyydqJ~)^T-iyHoq3;V|$u^OL+CKL+n8u=xP5F=!X*<>ebijd9TO~3{Lh4IdpnzALVd< zL)8ExE$|+a%RwbARszvkwpn1&{@O17tT~ayf?k(htt^+0J(Oo9n&`8J*tqhuTODM;A zxif#{38tS|F`8-WCxCtu;`sU!XFlVO8&(zMop*!~+x00+X}RYNeES}0$90B$6rd1w zy_Udw*+(S$%ky^WC5D{?cb{sW96 z4eIrH4Q#{>M~R6+94m$*wsGe~FT|h#u5F}u-gC^9tKxH|wFca7s9;@@P0m_et1!dZ zCna2=V1A%S*T|9&61Sq1PI-=0GKC?MKY+zfOnFuPgV6f?q#ivHrCR~Iao<4)9s{5U zo{AG`Rc3gTP>8)@7$k1XKu$;gAR@e<;DlyZkAF>gu4?~Es(GsJ6p2`cR+Lo2s0YDb zygNvZ&$ZOQgjw9`7vQ7#i%kgS{3Es3te!aGrOQsnDv(p|07!;#t4Z`h-fqcEyh{C~ z6n?jF552Sl;W3WD@+{C)a`IyrAiyk(yTc0t*UhT$e ze;z2-n3P7;p+0~=)S2@-*s{Cb_`*Q4773MLlH316dUdw{Vp{67W9Ulf^4USi>#Gov z)2qO`H;=U+PPKmM9e{b6eTv}ye82T0=K+!Zpx?xjkJ8FA=(p`YN#F}RdAAh^c@Yps zp;%Im{TycNefh@|Y4KX;^chFcGA*ym7G`9WikrSP!q|HS-BfmfAv@mX(hL2>-hwB05hn5+TH88rEIcA z*`h|W4T=k<7X8tLk4^)}GB0;F1-f7qK$a6;)5>9~r^xAE%(vac4j4hXtt>IazWM#` zhfEMIt2K|-4fI` zNnwq0{dOT~?;qd>Fi?`iOB2{a_aHpR?BAW9wUtCD|c zHu<{(HX+2c#{qlvn1%BC@3 z)v+AQCXK{KgNJ_6eqxD&?%W8BY*dNs2rvA4_ZE~*`PVxF z`$BjXyP{LkEdohh8;hW*Ede>AOmHK|kMB`?K zAZe#p<}||k#fD5ekpd9*u+$zdOQgF43ott_Lwtb5C-8?+`+u9w2RDLj%!srWw^zNtfnF?G_BU6DpMI&;tu^acEsnA@S~PojaN@-`J~KQAYB;P%nuH8 zEEI>{I_w6=M@LxItnT#?MnI&0)F-4u+i89Y#o@AhsAMWU7|CHJhRu2>c2oh2U<8W+mMMhq?LPo=4gsMI9PTOh8-u~qF|7nNDYta-8WHdMp;zD!YRpXfpj zA1>yTy@_Y@6mSG=5K0Aiw`LKQT;%}BTmJ{Z3HwH?W8i>5P=+c#uT`SOvH`~wB z><1b%*yQjh_@H=RHDS{{KM5`=M1g%tR?y;5FTg~idORd#+s=?+XP2CE9ol{ zxVU5|#*6F_K?u(Ku(3+FvhL3`V>rWvDbsr+z0ViuEGI}akMGX9IKMxjB4$1G4c&(E z9af182SR=MklzaFthV=x#hRt0PxYrC!Xf%hgq=ktX|sL)?mz=VbwCo9Mj} zevlR}%J)9(v#p&4W7%}*8@*tploWh4Q?W%7FU!t^iD_t|9Q{Qy$&}KKxnA19KFd$B zXX0&W&0#hvBOERH$nE_S(C@5_#(<{vmgdOta0D*n3{!G{B|FDKW~H*MWH>#da#&ho zKCtE|dgjo-%Fq9-dr&AAJeVcmn)cKkM==>FT)B?y73+NZV)D>&T>ZWeP5bkkiyIc%~C9TNdwyr-nfX08YR-TH0+~FNq9G}!{ zpK$yIevt9-F{UZ7 z`l3O_9@9K52SttG3TkLfS`}z~)K9no$zGM>-R{RK)dNmSWL;zYD4BeJOA38qi ztl+%@m^O4`$=Mmzg~U@u;GzN-ACOY7Pa+PsO$Kd!*xWL*%JRl0FYoBlkvW#H;=Ey5{3$8%G}zyAWM+CKsIC$RIX8VVGH^xYv9pJqfCH|W>A9Mc=AEXEFoJdDSeW>We8@dg*%bY zEvf$htAnR6UZ!QgBdRn!F`swZ5TXiDE|RXowYu*4IVPEgSlV!FQ0ZErpc*<0oz0BU z*ziA;bqjD?&Q#E$f=*P?Z3yxGm*DhyOt!8QO%7C>I0-0rGz_BSlK?ywu|m>`k>pEE zF*x4zM%dp}H z!@6O_i5V2zLlL>E}m>%zJGYK0sG`8aa{e&rTON@(T?8i zlXfFcS5bjDQ6n}0%v|LjCgYe$EMkdBsLTXQQWhOGo+uMTV`oBDzV}c-S15|YPo@}} zS}+PU_Uk9|oCre7_pPBd2EgbP0^f?h7~LMycDBf<~94z^A9nQ%8 zR4KKrABh&MK?Th`qEaC_-VK1px{rtXKZ!2Crbt^i4y`j%r9$?2p)yXn0v*~PB%hQV zjEHek6&Oa_+`9clN9zHiWfE$R$W-;>;$p}be@0CL6?9B(w7_C^jbd{vMDUbv%u%f6 zY{HK31vJ!Bs1VDUvx!MgsmAJJ@H!GRNUPyA+>o&uLTdjbl=o#XK>2_rFK2#@h+e`9 zK!}GMD*QbgVQvDgE7v4R#7uxG)ZK6#o6@hPObwb;lUb3+Z7sM-4~$tUp6H~;5@FX3 zS8f&z6vQ3>@%!!sd+U81U@n4pB&s{Ki#t1afKP+{H^SUM%N$kuP|- zRH65NZHXI8mvB&xg3qKT5$UXu?PpDTFT!vTRcos#y;yAQ z&;?-ZvfvPj`SzS2sYbJ5G)aO65&|mAGvK;)R!ZF-04M--SNLiGz0-+T8#w?3==*zS z#CXvgbAd8lPe3fbvdZx^Z-B^99AZVE24Dp6UXJB4(Ve}{=Q&ZyE3*`(9eRhRS)ebG zjFH8PbljTuqND3j=eGi`@c!zQ^)bBmZXmq_$b|&E-uNmWLN2qWrEG!xt-O1EIBsSk zdX(hhE8Ys8t%_=0MC{ZAWbd=ni`74J%m8Zyu4!+*S36HH2wsapN9wmbVucg04oyR^ zYyJ3bN+2r|%(4Pb;~o4OL+uZ2UD?I>w%)z*(=YavXn~x*arcr= ze?d83yT;k>*@WR^VibK!I5}~#iKijn*td_!r~P)69UZB0&M+>uLx4|P!>D75oL(T< z_8C?YsEBCQ-Xhw1p~RxA$Pf2woP%LEb0u(Nb8&ZXnD&RRQ=C@Qg9-0UNu5NNia$!0 zAP;Mt;XU3XBcjBx@;m#iWTDeZwzBIC)mQ$1esWt0lMmHV#L9=26pJhdQmG!A!9Lke zW#?`ALT2w&3FXNpWeDLt@5Jf5I}dpMxI_IdPqkTY2@Dy{=qYw0OMUk4>knnxjm~>; zP9UHla!%|<*xAkRO^?xX_$JWZBLjBvJrrLdRD-;xIac#iRI5w9Z<2<;CDK#x?2X=U zwy_(z`$40;0A<&ow)AU~PeVY8D0%@?OVU);k`;*KHBK{Z(l*2daspV_uV-JkHO_)} zCJ#mCDQnJy$5fK#gnmTYyHC9tp&>+F+x+t`VQ_#&=z)%a!lRP1Ei~UXLW~8kkzU!= z;DIl4+O4UA2<41(QNFg%uIp+E9B;fkSiV0M^a0Ka&ZJG2GcF5HFgS5-T>3t)mW%Y+-or({W5ge;Cd2x@*EMmpx2>(t>YDU zT0v(Z-5c+bS2`asyQMPg)132!Vo8b4JMew8VQXh=sSQUI=)~n|*M1gUx4*_j^y7XI zz7xEsftn7NUfI+WC-;oIxw_taZ{AB|C&)n0QD?e> zpZ6YW9!qHz#S?LDx=8j>n@X#l5heABy3;8_Do@d`RK*Cs=tUI5}m{VKu4`uF@Z5ud_NgtTU zqbmF?uRBJt(@U2B9sN#uyK!CFIf3+qzWh5-&14cxeHNP@6MAY$H~Y5y7+L;Zod{7Z zu6X30bNb2~$k%#iFgXxNcm2E-m%?oNYPpF89g`f?px@x%B{czwEl}+3uaSfAI`TB#0|eByUX;JA+PD@rhMg-YY7}~cvX)N&X`>c z^D^)gHEiNs&QQ{yiVWvZaT5%~Q5~S+f1D9+W4>D-e`AUV&Lo3_q{v&Gl66t(x0nnf=z#02R zI<>q@V)SmTa-CIgw7_A3WOQhlEZ9w+ScX+X!+`~v`{R1it3!+z3PxP?%D|CEY!fch zz!Oq(hc=^~rO}~(>c~?8$-}Cfq1x4@4K#;g?%$>SXbMUFe)Zu+{o$ocdon-ghI`30 zk5$!84gM8K>*g6t#Gi|qxMtLSFY%1===!51GqnD)de%8WPp0Eg@7qX%Da|RUgwG75 zsk}AKTe1h98;>rtU_*z!Br7np$R~RF-n5x<{;AXuwaflndLoO5v%x7^f{m3`kzbc# zx85zULxeqzf;38K>mP>&?AUPgxvr6MP@(7E(NQ?Z^f(R(^7lNYo^{{^3UkEK^;_y) z`g`tVbrv*yK@>ib_BvU{(zz2M57I>Yd8crHF!U-di?Ff5u4LrV4?&ZamjvZD{C zH$b`%O2E7`F2}L?1qY{qx)eEfwB!vxfZ$*+1l6@GsIk-V%smh=yZe>*Z#DrpM#3R@ z^bc}p-bF$#U6z@NDwHGRw90RGPZ%;TOT;rbrQC|IXD5lUJ0)_+{N z`50~Pc80vw?o0i$))77hDwZ*o&)@CNx&2k4rdSTRJ+hzN?axbJ>$sDGrn_9D_hHUY z`Yk7_uddUMy07tTEN1gz?1n0K;cg_=-1UmCLQfmCLIDFVNv7bYB2qeSVOdF495MFH zxOX?V9(Sa!yll$^YVZ_uegoP6XcM4emu@H*^RVuv{I-utBr0sLoO-U=c|6FrxAJzm z_50r{!;4L)z*sdScKzXdJ99XT7RKmkH-F_Q5RVTwT}kODlfc}f-5iN^5YIIL?Xsrz zwszAe)66N=AGdG7&2N=2tuG2H;wnmI5E?O?;O0T{+5-#evV+X)27xwrb-Q(_^lKmL z2EJK(0{=9yhhxK!v*h!r@@6^te=T#|`P2IFM($rR!(ZB+Ba?#G6D)$imKB5gjc!R1 zni%*Qp{9&)DQ&~skjH+XrDNu;X)GwBzpkGz{l?_`?JuK|18QgutmfhBo{Mx&u@OH%-mdwe%+VE1++;`Rel zcR+7&0ZP|^MP1g%n#nb+N`aOPP3E32d_26pr>I!`+kC@uIg{N@u#+t1UQ6ck&BZjl zdhVsHtc0sOz=+jLM#x~KNzV!g-@XEV7cGJ4J}!~Q5e#5zF#%!pW#o z)54}*$mqVc{h5!@$t1aH5k(B(m*}muuldxpmeo0V@RWLr-s8kpS_ELRNK)9OSC}WAo9 z<{2_+sVbliBu_O3+!*aE?99)!=mWXo&Ai%0e46>kIV zb#iB7DEN$6YaBj?C4OL9q4;p)dA~`iMI?90O?KtJQknc$Tn9=q;^{2Yvl24A#?~!V z+)b&Ly(Jmv1q`b$4XI7O@ZzloJFaoili490^{Jwie53s_`=Q7jx|S`8t1cX5VKIq}lsO28|ZQ zgMw+i>30TJ=LuemJW^CB4;7S0UPBWmZ0x%EW7oHw^g{Y+wqWPiyfRV4`dc4fd~5Ph zTm@&l=PjH|gHYTq&HA9ETrx$}-Ds(Emt~@L(%%~GsE)nd>ENhu%ln(b+q-v7U9eY< z!m+B%o#9dX1xefcLB4=v_a}(&PHr(HaJ*cA^FD27bbbc?G$Z=vedAw*MucKYbTzJ` zxc6yBuqTw?D9nyg*c3C(52DMq1GM;t&LI?QiBRRrCj$ARM>;>X(kr+;#x?jp-}ty} zqas~f44eG()rqIx=g#Uh6J&aR=ooR}zW?HV9Ye*Zw7aaQi923mCXhALT#5Wq{uQjo zqDTmAx43CMMyME6*iP>5--f*D%`$OM6*SF%s!?s~CgiAB&rZE_U@nZ* zO5EYFOWQf%-CfQSYRMYb3Gs?UiW%{>`>cgNU!FvHR^3v!+2)ytA!bve^?lAd%Uxu$ z%K^nQ^@T;G9)}#PTvMhc~t`o%%&Cbd`zN<^!YWk z!DICMo6JNK9+P788re!0Xf1y>MEzz;GkyItc2G@d20JcB=MRVX^>aG*DpNase+8tP zuB@hnUi}E*h+=n#rJx=E&gv`#^xqT@jRaa0GWp(@Ed*+Z--P8FVfk-bl>54d8iKYS zE*8UGG2Oz{=%q8cKJ#PyW$o)3g*7hF^$|)Ov9qc;7+z zQo!LQm@Qor|MV-J(JQk=Z8by!&%A>A7LD)EX*J2jx>ea(Be)y=gWv3rRA0Jy-uHN_ zOT*0gAf@2!=QpW@mmJ0|Kbl^(u`i2wy|Mpxm-Tq8+IdfSa}kL-J6tPg{4L-oc&#J~ z4=qeVX>z$mo>4xrzg>S%s=LZivyF27(~!cwVm+_0HCG;04V<{ z>R%}hlbgm3MJOW9&gkCfJf?DQ?IqDeNLG`P6#`^Z*vU2_+$cWc5BEixxQkwPxQ|D( zZj3{J?u>^$d_dsfQVeNopAS(y^SHVwvd~4nDDx0b`CWFjx7oA# zeTPEOm+Y*{6M3JQFTL*zcItJ%?8*h4ZuPaTEPi|O<;A13GnitBRd&E-#l?@AckEUQ z$9+X*@mB5a9hbH8TmDi%j+d8y&b&U8ir;JCS`@G59cX0&j}Z#KeZnGq=)h(Yd78e`@TcM{dbIo}pUE z;h+^Sq+&E*A+qtd7B{sZW-~Qv`N5fsigwAGT#cj*1;8&paa19QT}T6K?J0);u~-*w>>dWKTQIvq5D-fdiXutk{&2 zsVWIDjY7wey6X&~ug!VpAjNL?t*Go8`Ck`=rbpT&I{GWz)G&BQ?rJ)NbRtq8ZEt2w zuMi}8rsYkkWIC;s$o`G^l#%Mm=UE!>ddhtnabrA(!wg~!fp8|1=1>-+<`u%_)KMs- z{724o7ctn7u0wwH}$-9Z_&>^t~SRb1fO7H3;pBKL1WaZx(zhFS0M^(Ax4B} zI9wv6s(Vz0TIkN=am*8WL#zrkOV?pK{0#0&r{HzHJz^n~ZsVM_WBT^N6S z4wu%UsPnw$vLg{EF|I;W81#M<@Hy`py5OAv_VZh^#~lVop`W>FCf`=$Y&V!CZXtD@ zKBBPRPNexEJwH943(ZkCe6{J>*nx%V3Awvju-{a*heZAYUeja^53@ywze=g?jsx%_eVYZ3rU!xC0uu=`5`l0-dAdn}7iA#^InPwpOHdAMSjRv{)J>?@!lBz7m zL?RU%|11I0Yamh&Zbv3=o@l9+ShY{0=+WMKb5Q~2Qo`o1-a5K8hJoQHOvnHmvlwly z?o~4qY*uOtXU{c?1Hw`JWi!FF6w0FiIzfEoQ&yNCA*kfC8q4Zy?o1LIHBotkf$#16 zk3btnK>$##JaP(3yda|+T28X-=S3J+x7+3L<$9X+U4<*~z7i_!*x`Ekv;-pbJn@@_ zb%cF87xz&(<@T9k*}jI1Esv1w{L$&{ABQkqEg+F1=s&r&8VE*YR&QB?ZV&+I6(J@V z5pIHR{rf?n>o9-U|7}u~1y#KNQU;L&5PyFF013~H0n-QXXMcYLq9Z@||6TuU|DPCt z`MYEJNFjNW1|HSwgo7X>4(9SO#|B3Ri{ePnTmBb+>hJ|es2%v8u zB`_ZSQxv2h$Vsi7}QT~@T^M3;K|LqU`6Gk@rpD_RG9sQdqZ(5uFt&#oL r{y!__FUG%jME;4v6Y~#@zul7mv%d$E{JopV2;VqDg9?r(B!K@1i*z{Y literal 0 HcmV?d00001