From 3c9d0a7c11fe6d9303232fe230c52681067d40b6 Mon Sep 17 00:00:00 2001 From: s3xm3x Date: Tue, 25 Apr 2017 16:51:27 +0200 Subject: [PATCH 01/15] Update transimp.csv --- docs/bom/transimp.csv | 1 + 1 file changed, 1 insertion(+) diff --git a/docs/bom/transimp.csv b/docs/bom/transimp.csv index 7cbd225..7aba6f5 100644 --- a/docs/bom/transimp.csv +++ b/docs/bom/transimp.csv @@ -21,3 +21,4 @@ M3 spacing bolts female-female 30 mm, 1466803, 4, No Washer M3, 1377496, 1, No Raw PCB single-sided euro, 1267751, 1, No Raw PCB double-sided euro, 149058, 1, No +MOSFET, 1831089, 10, No From f7727ac381af89bc2b70bbf6e39dd9f72e0ca4c7 Mon Sep 17 00:00:00 2001 From: elamre Date: Tue, 25 Apr 2017 17:03:09 +0200 Subject: [PATCH 02/15] Current source simulation --- ltspice/current source/ad8603.cir | 107 +++++++++++++++ ltspice/current source/ad8616.cir | 121 +++++++++++++++++ ltspice/current source/current_source.asc | 134 ++++++++++++++++--- ltspice/current source/current_source.op.raw | Bin 2300 -> 3496 bytes ltspice/current source/current_source.raw | Bin 39482 -> 9274 bytes ltspice/current source/lm358.cir | 45 +++++++ 6 files changed, 390 insertions(+), 17 deletions(-) create mode 100644 ltspice/current source/ad8603.cir create mode 100644 ltspice/current source/ad8616.cir create mode 100644 ltspice/current source/lm358.cir diff --git a/ltspice/current source/ad8603.cir b/ltspice/current source/ad8603.cir new file mode 100644 index 0000000..5b0f594 --- /dev/null +++ b/ltspice/current source/ad8603.cir @@ -0,0 +1,107 @@ +* AD8603 SPICE Macro-model Typical Values +* Description: Amplifier +* Generic Desc: 1.8/5V, CMOS, OP, Low Pwr, RRIO, 1X +* Developed by: Soufiane Bendaoud, ADI Silicon Valley +* Revision History: 08/10/2012 - Updated to new header style +* 0.0 +* Copyright 2003, 2012 by Analog Devices +* +* Refer to http://www.analog.com/Analog_Root/static/techSupport/designTools/spiceModels/license/spice_general.html for License Statement. Use of this model +* indicates your acceptance of the terms and provisions in the License Statement. +* +* BEGIN Notes: +* +* Not Modeled: +* +* Parameters modeled include: +* +* END Notes +* +* Node Assignments +* noninverting input +* | inverting input +* | | positive supply +* | | | negative supply +* | | | | output +* | | | | | +* | | | | | +.SUBCKT AD8603 1 2 99 50 45 +* +* INPUT STAGE +* +M1 14 7 8 8 PIX L=1E-6 W=42E-6 +M2 16 2 8 8 PIX L=1E-6 W=42E-6 +M3 17 7 10 10 NIX L=1E-6 W=42E-6 +M4 18 2 10 10 NIX L=1E-6 W=42E-6 +RC5 14 50 1E+5 +RC6 16 50 1E+5 +RC7 99 17 1E+5 +RC8 99 18 1E+5 +C1 14 16 0.8E-12 +C2 17 18 0.8E-12 +I1 99 8 4E-6 +I2 10 50 4E-6 +V1 99 9 0.3 +V2 13 50 0.3 +D1 8 9 DX +D2 13 10 DX +EOS 7 1 POLY(3) (22,98) (73,98) (81,98) 40E-6 1 1 1 +IOS 1 2 0.05E-12 +* +* CMRR 90dB, ZERO AT 15kHz, POLE AT 2MHz +* +ECM1 21 98 POLY(2) (1,98) (2,98) 0 0.5 0.5 +CCM1 21 22 3.54E-10 +RCM1 21 22 30000 +RCM2 22 98 1 +* +* PSRR=100dB, ZERO AT 300Hz +* +EPSY 98 72 POLY(1) (99,50) 0 1 +CPS3 72 73 5.30E-9 +RPS3 72 73 100E+3 +RPS4 73 98 1 +* +* +* VOLTAGE NOISE REFERENCE OF 20nV/rt(Hz) +* +VN1 80 98 0 +RN1 80 98 16.45E-3 +HN 81 98 VN1 20 +RN2 81 98 1 +* +* INTERNAL VOLTAGE REFERENCE +* +EREF 98 0 POLY(2) (99,0) (50,0) 0 .5 .5 +GSY 99 50 (99,50) 1.9E-6 +EVP 97 98 POLY(1) (99,50) -0.6 0.5 +EVN 51 98 POLY(1) (50,99) 0.6 0.5 +* +* GAIN STAGE +* +G1 98 30 POLY(2) (14,16) (17,18) 0 1.25E-5 1.25E-5 +R1 30 98 4.69e7 +CF 45 30 50E-12 +D3 30 97 DX +D4 51 30 DX +* +* OUTPUT STAGE +* +M5 45 46 99 99 POX L=1E-6 W=1.61E-3 +M6 45 47 50 50 NOX L=1E-6 W=2.15E-3 +EG1 99 46 POLY(1) (98,30) 0.3778 1 +EG2 47 50 POLY(1) (30,98) 0.3771 1 +* +* MODELS +* +.MODEL POX PMOS (LEVEL=2,KP=10E-6,VTO=-0.328,LAMBDA=0.01,RD=0) +.MODEL NOX NMOS (LEVEL=2,KP=10E-6,VTO=+0.328,LAMBDA=0.01,RD=0) +.MODEL PIX PMOS (LEVEL=2,KP=10E-6,VTO=-0.328,LAMBDA=0.01,Kf=10E-35,AF=1,TOX=100E-3) +.MODEL NIX NMOS (LEVEL=2,KP=10E-6,VTO=+0.328,LAMBDA=0.01,KF=10E-35,AF=1,TOX=100E-3) +.MODEL DX D(IS=1E-14,RS=5) +.ENDS + + + + + diff --git a/ltspice/current source/ad8616.cir b/ltspice/current source/ad8616.cir new file mode 100644 index 0000000..41d623b --- /dev/null +++ b/ltspice/current source/ad8616.cir @@ -0,0 +1,121 @@ +* AD8616 SPICE Macro-model +* Description: Amplifier +* Generic Desc: 2.7/5V, CMOS, OP, Fast, RRIO, 2X +* Developed by: VW ADSJ +* Revision History: 08/10/2012 - Updated to new header style +* 2.0 (02/2010) +* Copyright 2010, 2012 by Analog Devices +* +* Refer to http://www.analog.com/Analog_Root/static/techSupport/designTools/spiceModels/license/spice_general.html for License Statement. Use of this model +* indicates your acceptance of the terms and provisions in the License Statement. +* +* BEGIN Notes: VSY=5V, T=25°C +* +* Not Modeled: +* +* Parameters modeled include: +* +* END Notes +* +* Node Assignments +* noninverting input +* | inverting input +* | | positive supply +* | | | negative supply +* | | | | output +* | | | | | +* | | | | | +.SUBCKT AD8616 1 2 99 50 45 +* +* INPUT STAGE +* +M1 4 7 8 8 PIX L=1E-6 W=3.64E-04 +M2 6 2 8 8 PIX L=1E-6 W=3.64E-04 +M3 14 7 18 18 NIX L=1E-6 W=1.44E-04 +M4 16 2 18 18 NIX L=1E-6 W=1.44E-04 +RD1 4 50 1.33E+04 +RD2 6 50 1.33E+04 +RD3 99 14 1.33E+04 +RD4 99 16 1.33E+04 +C1 4 6 5.95E-14 +C2 14 16 5.95E-14 +I1 99 8 3.65E-05 +I2 18 50 3.65E-05 +V1 99 9 -1.087E+01 +V2 19 50 1.280E-01 +D1 8 9 DX +D2 19 18 DX +EOS 7 1 POLY(4) (73,98) (22,98) (81,98) (83,98) 2.30E-05 1 1 1 1 +IOS 1 2 5.00E-14 +* +*CMRR +* +E1 72 98 POLY(2) (1,98) (2,98) 0 1.507E-03 1.507E-03 +R10 72 73 1.061E+01 +R20 73 98 8.842E-02 +C10 72 73 1.00E-06 +* +* PSRR +* +EPSY 21 98 POLY(1) (99,50) -0.3750E+00 0.750E-01 +RPS1 21 22 7.9577E+00 +RPS2 22 98 1.061E-02 +CPS1 21 22 1.00E-06 +* +* VOLTAGE NOISE +* +VN1 80 98 0 +RN1 80 98 16.45E-3 +HN 81 98 VN1 4.3E+00 +RN2 81 98 1 +* +* FLICKER NOISE +* +DFN 82 98 DNOISE +VFN 82 98 DC 0.6551 +HFN 83 98 POLY(1) VFN 1.00E-03 1.00E+00 +RFN 83 98 1 +* +* INTERNAL VOLTAGE REFERENCE +* +EREF 98 0 POLY(2) (99,0) (50,0) 0 0.5 0.5 +GSY 99 50 POLY(1) (99,50) 8.786E-04 1.33E-05 +EVP 97 98 (99,50) 0.5 +EVN 51 98 (50,99) 0.5 +* +* GAIN STAGE +* +G1 98 30 POLY(2) (4,6) (14,16) 0 3.710E-03 3.710E-03 +R1 30 98 1.00E+06 +RZ 45 31 5.321E+01 +CF 30 31 2.975E-10 +V3 32 30 1.50E+00 +V4 30 33 1.08E+00 +D3 32 97 DX +D4 51 33 DX +* +* OUTPUT STAGE +* +M5 45 46 99 99 POX L=1E-6 W=1.48E-03 +M6 45 47 50 50 NOX L=1E-6 W=9.26E-03 +EG1 99 46 POLY(1) (98,30) 8.250E-01 1 +EG2 47 50 POLY(1) (30,98) 7.000E-01 1 + +* +* MODELS +* +.MODEL POX PMOS (LEVEL=2,KP=4.00E-05,VTO=-0.7,LAMBDA=0.047,RD=0) +.MODEL NOX NMOS (LEVEL=2,KP=1.00E-05,VTO=+0.6,LAMBDA=0.022,RD=0) +.MODEL PIX PMOS (LEVEL=2,KP=1.50E-05,VTO=-0.5,LAMBDA=0.047) +.MODEL NIX NMOS (LEVEL=2,KP=4.00E-05,VTO=0.5,LAMBDA=0.022) +.MODEL DX D(IS=1E-14,RS=0.1) +.MODEL DNOISE D(IS=1E-14,RS=0,KF=4.83E-11) +*.MODEL DNOISE D(IS=1E-14,RS=0,KF=3.43E-11) +* +* +.ENDS + + + + + diff --git a/ltspice/current source/current_source.asc b/ltspice/current source/current_source.asc index c847735..c621c37 100644 --- a/ltspice/current source/current_source.asc +++ b/ltspice/current source/current_source.asc @@ -1,28 +1,64 @@ Version 4 -SHEET 1 880 680 +SHEET 1 1572 808 WIRE 576 -304 384 -304 +WIRE 1280 -304 576 -304 WIRE 384 -256 384 -304 WIRE 576 -256 576 -304 WIRE 192 -224 96 -224 WIRE 256 -224 192 -224 +WIRE 1104 -224 992 -224 +WIRE 1408 -224 1184 -224 +WIRE 1552 -224 1408 -224 WIRE 192 -192 192 -224 +WIRE 1280 -176 1280 -304 +WIRE 1552 -176 1552 -224 +WIRE 880 -144 800 -144 +WIRE 992 -144 992 -224 +WIRE 992 -144 960 -144 +WIRE 1040 -144 992 -144 +WIRE 1216 -128 1104 -128 WIRE 384 -112 384 -176 +WIRE 880 -112 720 -112 +WIRE 992 -112 960 -112 +WIRE 1040 -112 992 -112 WIRE 192 -80 144 -80 +WIRE 1408 -80 1408 -224 +WIRE 1408 -80 1280 -80 WIRE 320 -64 256 -64 -WIRE 112 -48 80 -48 +WIRE 1504 -64 1200 -64 +WIRE -304 -48 -336 -48 +WIRE -176 -48 -224 -48 +WIRE -64 -48 -96 -48 +WIRE 112 -48 -64 -48 WIRE 192 -48 112 -48 -WIRE 80 0 80 -48 +WIRE 800 -48 800 -144 +WIRE 720 -16 720 -112 +WIRE 1504 -16 1504 -64 +WIRE 1504 -16 1488 -16 +WIRE -64 0 -64 -48 +WIRE 992 0 992 -112 +WIRE 1104 0 992 0 +WIRE 1200 0 1200 -64 +WIRE 1200 0 1184 0 +WIRE 1424 0 1200 0 WIRE 144 16 144 -80 WIRE 384 16 384 -16 WIRE 384 16 144 16 +WIRE 1552 16 1552 -96 +WIRE 1552 16 1488 16 WIRE 384 48 384 16 -WIRE 80 112 80 80 +WIRE 1552 80 1552 16 WIRE 384 160 384 128 WIRE 576 160 576 -176 WIRE 576 160 384 160 -WIRE 80 208 80 192 +WIRE -336 192 -336 -48 +WIRE -64 192 -64 80 +WIRE -64 192 -336 192 +WIRE -64 208 -64 192 WIRE 384 208 384 160 -FLAG 80 208 0 +WIRE 720 208 720 64 +WIRE 1552 240 1552 160 +FLAG -64 208 0 FLAG 384 208 0 FLAG 224 -96 V+ FLAG 224 -32 V- @@ -30,36 +66,100 @@ FLAG 256 -304 V+ FLAG 96 -144 V- FLAG 192 -192 0 FLAG 112 -48 IN +FLAG 1552 240 0 +FLAG 800 -48 0 +FLAG 1456 -32 V+ +FLAG 1456 32 V- +FLAG 720 208 0 +FLAG 1072 -160 V+ +FLAG 1072 -96 V- SYMBOL res 368 32 R0 SYMATTR InstName R1 -SYMATTR Value 50 +SYMATTR Value 5 SYMBOL res 368 -272 R0 SYMATTR InstName R2 -SYMATTR Value 150 +SYMATTR Value 270 SYMBOL npn 320 -112 R0 SYMATTR InstName Q1 SYMATTR Value 2N3904 -SYMBOL voltage 80 -16 R0 +SYMBOL voltage -208 -48 R90 WINDOW 3 24 44 Left 2 WINDOW 123 24 72 Left 2 WINDOW 39 0 0 Left 2 -SYMATTR Value SINE(0.75 0.75 500) +SYMATTR Value SINE(1.5 1.5 500) SYMATTR InstName V1 SYMBOL voltage 576 -272 R0 +WINDOW 123 0 0 Left 2 +WINDOW 39 0 0 Left 2 SYMATTR InstName V2 SYMATTR Value 5 -SYMBOL Opamps\\AD8552 224 -128 R0 -SYMATTR InstName U1 SYMBOL voltage 256 -320 R0 +WINDOW 123 0 0 Left 2 +WINDOW 39 0 0 Left 2 SYMATTR InstName V3 -SYMATTR Value 10 +SYMATTR Value 12 SYMBOL voltage 96 -240 R0 +WINDOW 123 0 0 Left 2 +WINDOW 39 0 0 Left 2 SYMATTR InstName V4 +SYMATTR Value -12 +SYMBOL res -80 -64 R90 +WINDOW 0 0 56 VBottom 2 +WINDOW 3 32 56 VTop 2 +SYMATTR InstName R3 +SYMATTR Value 19k +SYMBOL res -80 -16 R0 +SYMATTR InstName R4 +SYMATTR Value 1K +SYMBOL npn 1216 -176 R0 +SYMATTR InstName Q2 +SYMATTR Value 2N3904 +SYMBOL res 1200 -240 R90 +WINDOW 0 0 56 VBottom 2 +WINDOW 3 32 56 VTop 2 +SYMATTR InstName R5 +SYMATTR Value 40k +SYMBOL res 1200 -16 R90 +WINDOW 0 0 56 VBottom 2 +WINDOW 3 32 56 VTop 2 +SYMATTR InstName R6 +SYMATTR Value 40k +SYMBOL res 976 -160 R90 +WINDOW 0 0 56 VBottom 2 +WINDOW 3 32 56 VTop 2 +SYMATTR InstName R7 +SYMATTR Value 40k +SYMBOL res 976 -128 R90 +WINDOW 0 0 56 VBottom 2 +WINDOW 3 32 56 VTop 2 +SYMATTR InstName R8 +SYMATTR Value 40k +SYMBOL res 1568 -80 R180 +WINDOW 0 36 76 Left 2 +WINDOW 3 36 40 Left 2 +SYMATTR InstName R9 SYMATTR Value 10 -SYMBOL voltage 80 96 R0 +SYMBOL res 1568 176 R180 +WINDOW 0 36 76 Left 2 +WINDOW 3 36 40 Left 2 +SYMATTR InstName R10 +SYMATTR Value 140 +SYMBOL voltage 720 -32 R0 WINDOW 3 24 44 Left 2 -WINDOW 123 0 0 Left 2 +WINDOW 123 24 72 Left 2 WINDOW 39 0 0 Left 2 -SYMATTR Value 0 +SYMATTR Value SINE(0.15 0.15 500) SYMATTR InstName V5 -TEXT 32 296 Left 2 !.tran 0 10ms 0 100us +SYMBOL Opamps\\opamp2 1456 -64 M0 +SYMATTR InstName U4 +SYMATTR Value ad8603 +SYMBOL Opamps\\opamp2 1072 -192 R0 +SYMATTR InstName U1 +SYMATTR Value ad8603 +SYMBOL Opamps\\opamp2 224 -128 R0 +SYMATTR InstName U2 +SYMATTR Value ad8616 +TEXT 32 296 Left 2 !.tran 0 2ms 0 100us +TEXT 384 304 Left 2 !.include ad8616.cir +TEXT 384 336 Left 2 !.include ad8603.cir +TEXT 384 360 Left 2 !.include lm358.cir diff --git a/ltspice/current source/current_source.op.raw b/ltspice/current source/current_source.op.raw index 81c9956ddfd0e4d073ea273891cd70a04b1ac8db..59bef71f8b8e232667a488fa7f3297fa17a0bfa3 100644 GIT binary patch literal 3496 zcmbVOO-vI(82u9Cp(RlhE^0h9;R1-Y?H1aiE?Xd&8X}0$cxWW$uM(lfb|G@r3m1P5 zN&-egOg!jWjmA)a(1TH9ym%%aycusEjqjV;vRmDC2eavHcjmpB?|bi?*&U{7GHHe; zsgpv~ieC@@ zdUI&!!7mGhiB&4#bC28TXx(TSD_4LEV(mO;m67&=JB@kLSfh-4jH05|5Ph7IXd`I3 zKZ212*n^0v1bz{-%v!SyfX!L*yweydfH4RZ|2M(u11FE(icp86&!ZsT;U))B&%FNLRWB=s4ITxe=A`BqMX5_@GC#eO`3qmW-umJTK z6|F->Jy5YaRJ#XCt3#zcP;mz;iuK*&YPV1es0epN$2Q`K2O?o1cn`SGk#xiofuHWE zR2@q5Kt=yhJm!gWYR)Y8RioJPP6rd7xO&|?JaP5Pd&kGxXRs%l=?r4v=q6At3)p>p z#*LzNo`AB7*o_R%j-7DWpA2T)35Ol4#U^XVKQ{KS7MmJ}&ssY+RSx@_K{i9EYU!$9 zY%$@n7=|3~%>EO?h?lVK~JAa1so*8lv z`ZJ{S%uuUi&GMZYYOZVI1Z8!zikWNMo@W&^*O0GtFsqokhM8*&)y!+2XO%OTo?k}p z{EqCjcojU2i8re0dRA!ohT+(3o{Z3+C;hj1{4VCx$)73fz-IFMTZI|7>cM96J70|{ zPXoz}DytK>s>9}~sS~$q#OA506Sr!`W~!?rYsO}(tK+Pl*bdZj)(-Pj)p6Di^VHRG z)($gO)nS}n=gd@7r(1mG@;B}xI6?Y&=zGhz_hb;Q8~2)m#+5xwxy?cpL}Jkt{l)CzTP(WB}>)9+a>+ysldiavF&)zozME?Utg+6 z1Az_x-I_X>`f=@QvUVTJ+)d*5l(E3+-2Y;KTUYPe&F8I`CUhQa43D)mhVu`)8=fTE n?(a$g^Q3qH delta 521 zcmZ1>{YP-Z4%HBb42Dt$1qMfk0)`?WZOC8@CM_APz-%iZ-)!RDa%Lk2lg;6bjEwy8 z44DjhKsk_F1qP$ZrcBCEF(WKu5YtfAnP5>z9rH?=oG1TaQk$H?A~o59*@)3-@B;U6o2alG$ln7J|NmNF2Z+}I#jHVcKz%y^g|L56 diff --git a/ltspice/current source/current_source.raw b/ltspice/current source/current_source.raw index adaf7e492cea7f8191c3262fd7c7a9e65afea85b..abd56811fb2cd6e534dc3e27ee38ee38e05fa4e1 100644 GIT binary patch literal 9274 zcmb`L3tSY{9>)g|iIqemm8T)*V|lE=?#d40I{1J{Lqb3^A1tDV5~2$}QZ((R_{mp_ z7+PtW7^YZWKu43O>9r^m%r4zXkb5i3O4IcE5$pc{duC?OIB=Qn=fY>^?EW7!=X-wt zbLQ+MGnq^-lf}$oqL=_C2#&GvX*!d_Si!a!&eNgIVxDI#@NGIX4!&8L1(36l$+5+y z*v>idZ8G?>ZF$onBNsm9z}07ibvm5$Ab+tfA{Xvrg?zMh=A9+;>fp{+h||EmEpS!R z(^$yOgzLn>Jr+Y7!Gzn+dg#4@F~K%g9Bvt+_K9pZ4j zFwY2mvBxArY$n_ZM+v>1{YLKAXbbFgZqSa^FN0P79upD=|W&e4YQ%A zc$JB^yCVsXUNT&LHuD^K7urT?9?)YYAYYE{j3b{3pR#Sa$S1EE@O=r8WBp^z%mFG_P@awo?;=Vsi!yc*WspU&T|^nFsBq|I z=lvQXL@j|@={zD*iU{o@!X!jsHFtgumg-9wDBXEfxNVf}W2x^lPCn)lUB=O)j#uyM zzVdO8>@rS1@*}#8laGDZ{ln3Zhxsy$c^WDNdzS(AvIOQCu8OnZOs|=w+E9;pSXcf` z4k{E6SNtTZJAv31?3{;zB zkXhY*2I@{SNDXzLK`H=ANHukzLG;HAvOaX5LDa_#GV{96AlhRFnSMx&m-D&pGWk!=izf2HR>+YAk=|96QAwsGRZn%&&2(Jx=gYf zkj!w^qhk=N!=9&ZbPPg`*z?qn4yhG;ruxw#HDk|IKRR^nMEr@-p=$^8)Q%2aJD8_- zbZ{)})ry&FN5?3vC1i9kQ{Cu{vF(6y|GOM=G>rHcKC36kFnhVT2Whyqz4b8+Q!|=j zIB2<;UB$(t&PD2D>WlVr^+f^Po2}*;=eSyKSFyLb*OeVyAJf9Bc^?&+Z$IgJDkVE4 zdhG5C=8E4hRSkD_J%vZ%Tp#wAO)r_mHYxrM6CB4e7UIlkul5QVJ?_n|CxaGcn9=8{ zOV@hpETv<5RYr#FcVZ!iAEdL`Yz+rbM<&-5nBksP?t*Repe-ZIXP!61y{n1_ZcfRM zA5i@u)99rRz(|;a2cMTQz1wDvi5^hLMGT&#is|k#ZyS@?w)kH({mcKN#Dw<0QcUQx zTusy74YiaG0H(dS9a)IsQ(3}vnqoQ-v_=7Me{U9)n6?8(MXSPM#6Liu5)7NQ^tSQi&|4vVs zPEkyGzdGwq3`>8IY0lE4Mg`Nyso@e+&&v;+>Dqg|-5leWRL?zhd6O!ph$=6ePGVaY z>%qPs>mf0r{T+%4eaNH%rVdSI!p_QTSM=dg@=KJN<$6kn1 zFkSE;DKS|;=qqEg0@LqX>$%(ycBo<+{t96d+n{)FHaOl}VnX{{6chT8sW&j)2BxNS z4lKm*nPG&fl42_SY)a@G$#SM9kL>i6W6=tx^Vdd6O#83*moaSsrr!JNxsvNURWYq! zNtnbosK}RvLt;YvUWy5Q$kYdzZUIy9Ne8xIr5C?_xRU9@Z*HO1A#$c$E=7G__|>dn znm=K*#1!c2Eo0gaOfB!#bAhhKs+iLA2$R%S__65~ei9Sf_fSme+w`5rd#%9vW=`836+p1c3^I#o=Iek4p{>k^`2okKJd6WR|@Oz89MZP7jkO!$0q3vy%^ z|LDaJ)e@$Y6w}SYZHKekX36H$Bcb}Frn65fn3}(OL}EH~=Lgv{Hv*VEHrH`=cjl{N z8a;zNbH#SS?(3$xyRS?82(*_`Oz1 zzlT201dDbsFd2ZUc&HN#G5m@lgy{^$v}#94S|w>$e7n%Z-Y%4w&|X6^p%0mc0Mk>zR8sB4LJU7vVEU9| z3Yi>HR<~2m6xgma8WNHeOoqP8B__kTI2lvnW!M{K*Kk4O&W=*EFMqY4uWfH6wyWJH zn)2KxN=#_~m|{X7?8&u{15*Yt`KR#l@$#syLMjAlyabT_S-imE0~5K z^^};NO}rLO@An$M0j5u?xe19`s+d0CMVQ2Pe8-JQL&uF{;vN?5jT965#QC%gn5H-P zU@z?Q+>O}g zXf-;0=jdnCNo?=s&x~x(pD8h+{qGbL`aFM5)B1x>>w(D{s|}R^znqc z!|kujnG#l9;;cWUDwsIFY?R2f=IkpYX`Px{%rPG^)!e+Zy;L#XGZQAUofNobL`2}0 zN>L}Ye@!u=Z@-U4>kUj>fTd;IDt3Z`j` z9+jA~*ZvemGo1vc?boWfptaABRHM_ZNrXvk-#6Yg&Nbew5Sh^4LNTGw`C*H;KQO%t zOh0aNW+8^R3j2sQipd)M=wUuW&cy8x`P*BXsS2i&m0Yx_Q_+2I8B-ZBt-eylCEYKM zQp2=y3Sko4@+1Dn1xNfPCbWM;F`;h*?7{mAOx{J#EX45f!hVltDz4jhsP9ZU)1Csu z$+|6_Fl8bsR(p(YJFXm zPRj+I#I|@}fU#v?fTR=JX(sfg?I6E3wgS^bpcBOK?VT`{R~3Ymyf0^J4Bz-f(?66< zQLA#K`P7)f$<~N7pi|RtRouOd8ddYDC64GMw(XANN4PtUm(~ch)AI>^_?r;k36*XH zrtEdjEX43Vgx|TePCLuPLf^Y8XR7`6P}#SGpH=7-+>|J-40B%hnEVEW_UU5V*b$5|QE9$?yCQq47V#Ho7bW*;ZdT(Mnpt|4;W zxdw>|?H4H~^x-}N-y2zh$>mNDHs`o6e?WL|^cBUlXs&~sm_ouwr|6eu3$a`2`Xa+UqGM^fgVhXdQql4wy>6b7D26KKv&F(|L+%b6>qK>2o<# vN9m2mr_z;7^tk4I6 literal 39482 zcmdSBcUaWi*8Yzb1;L66Qbs{(p(rgg$z+eBVh2>Pfr22Sh=L$?MJyBrMG!?nEQp2B zq-7>SP_Xyjd+!Yt74^Gz<~_rEuHSpEbIzZ?$7}PjKKI(WXJ==UnY|LmYt7P%)|#U= zLrbP5(XxT&4!`+n`DjG}n-4$z;Qw>9!nFb+^3xg&QIu8~^qHp>A?EprKO-O-2ijb* zpC9yyhTkG!>p?*M;O7G9KVQs<2A3%4kIDa~PWva-9-N~fPY1jMVXNv_I$kRj`b)G1 zg2#OLe}63}@uw7CJ^oz=%@xQ1Ek}smptl#irg_kRE^H-Svz7S};nzG%^U4N_8FRE2 zi!=&)4uzh9S~DTW`v{LA5Qs!81hzL{YavAQwSpmU48-=peMK@0eoluxyuWb20a`Bq zE5{3RXMq#mOIZE?{&RwS5_))oPY7hqfZTs%I)abmzxg*q%l3aVbYM>B!I<$J&V^Ts zw=zm}$0NaeZ#-;02=?e)$isVSCX5~*33z-F;!nKiXTfiC#lGX=_55RQC0Y|<#KYmA zj@BU9UJx7|*avSb8h-mnKkPb9bnOqn;Wpqu?lIuM?x8*!{u>YH|JvjK|KnI7&Vza` zBwAAVCxN5R5q>(0N9+G}BHiF8?CS^?$NrwCw&xhMOkC_FrU> z|C52=-T(LKrI0Zk-X~UC-mt%LPB8437b;8h_;5I%{5O5@TnvXT z{x^N_%nXMfW8nz+&t4gxC3O$=Z2$YQ;2Bf*P|xYV?}2Ae-Qz#qUw9^Q58wZI9C&8c zJ^s^i;F(tU_)o{7o_YML{9{y zzwf7>|7JgYPWaCp)yF||PkbKv&w8qlhvuI6-1F~y4ic{=`1-XN_KS|zKmPlcnJL%O z+NCI4;e{&7MXdGq`)BPKb`Q&2~9%8IGc7o#RV_4WWo)NkNx6&xpYqgO{f-<-AxmPii{!>8YctR!!(f|^WKkuL~#hpz!C!w(RUb&E4n%p{5v7$Bd8_SA5ANyu8JsQ1G(wdtZAMM$!{Iy>q9c{6k zTy{56n2=mdPE0Q5-U3^GH<507Y|MYUA>koMz`SUr4-t?zj{SH;Lj4BqtNC)yWZ|-h z2Bza;%48{__XL#Aiw@1k#^k@N6wy=BnZPxNNC&*U}3Y8X!^1- zANWGTLk`xsy72jg{rLzs;Dv;egZ3*uj++oZr0c^DZP9#I?bGRJBJ)t@jlxIX*7$t7 zULY4jlIRcFiR6?|NkUVL!{qN4hq)?X5Yt~ujroKYy?MwHFuyh|g?O+unFU5+?RIt| z7d*L-YTfg;XwzvNWMSs=ax!#zIkx~<_aVu&#Q`H;rEShbj)3{+xEsW#qt{ub zwmH=owAq*1D34_}Ns@hZ(U0m9(Wl)tcV;hm34W zMW4VJ7iP|)acJ0##~Pou-evN;hRO8xniT1S@f(Gwxg7Z{m*XA*doq3_ebcWyzffw$ zLymxX{Ua5jZLDJFO0B5-pv|aBQ9ex6``gdIBPx4UCi)yWXU$C6Ivy>4@KocIHnKwA zcV04GSbarmq1q&5=Br3(oC7dx)h2p0(UmVrwBsQMYh1R`ULs@c9yTk{j`9KR=#eYR zm#$NCsMVcO;KxeQC&s~q@hR~|E&smM_*~egln0$nrjtBvWe@wN2_||+NNC)AU@!Zo z(H%GH^HH;;Jmg@FE4v&|tY{n04x1&Vj6gd)##S}%mA~Dkv0aft8(#G35n;eozMF{1 zj_))+KW+)~F0E5&-vLpwec4-tfq_R!Xq*YK{Om1s&(FI2wYp(E~RtrHyGG*y-d2KwJu++H=TzZtZ@%tSrPq*S+e`|rc+p3*{V?W;LH8~EvFix=(>}l z&sakpX2zuH=uzG;jnC+@r{xX4DfE`Jy41`|IRa^UfrQ3&n2`d6$fJ ze-8**J8zTFxck8N{5>H0 zxc@2QAqQ*R+KBE%^22WItlveVk4;-2{zz*5iNk;Tpb4+;iarxUbQp)f5vZ!Kj>gBn z{e5}v_!N5Z^mUZW`yxR);Ry+iyA7=C`y$cD^WIq=atM|`+)|QDAne*=wtLukC``r33@!Pi^k`?*&Df(N}vBr59^d)ZjSg_Y~^+nD3(oMeq z%ZG{e%ljjFmo^OkywfMZi1FYP(0HMr#%Ezr8|LrBWcp`UefrnyYeI{y?WE9@m_aOV zEAEn`05R5-{soov=uV=jgTGOz)BuWE0(A$Oox&-^r7QVgrieCOQ9(j0)trG*75pL0_0##c{0_wV9-iaKUxh z{N1x;C~&cX=+pm-6*KE+8VYDhX?$XL7%;vuaNY@ar*~L=6p9BJN=pV9Dlvmt+*Y|H zM1WYp{IRVy5pu|iEt73Gl<1)T^GUyh_R;BI%!3;pKrxp6T(Fe`U#Sd-cLI`WzhD< zo}$mi4g~XRat8W61ZjNEv^He8smb)Rx-s;(ntI`5v9a`1v9S^}h{bI!jg1r_7BHul zSP=`xTCu=H4f+We2Xbytt|(AP%24#l8cr}y2^q*Kq1mTaYRLTZOQv(OJn50P4T9ZE zW2ya1V;b5DS>clUBryWmYUOQGZ=CSLXSuWLkDcPPd%-PVlhqAsuGjLx~y0 z;FMTGVaod1} zMJEjqY`Xzv1uhlin~IbttG+pLdpbWwO1ep_ue9 zn(M;UPRHbAmlWD%^-Eb;QkGE4ogkrc6M;n}WzkQv_4qApEDt$Y<5vCrZ98VO7R#`) zROg*~{K{1?Rk7#V+@t@FLG*p~=McS+kxb@zAM_^Vk;W%}ZK-_Z;tlkyhPJYA#hZk< zYn9~cYn5C$u;0a-Xx}s4`0zMa9&!ZCs~XP`J`c{a7go7a>7X@yZmatE`%j-SOFhxT zDE0Re$E=-E=evu!m%thu66rG?jQOmF z-aO<8m@hYrv-6x0R}kCKo4N{Gx6W1Ecl+}uu3J3O_6h3mS-<&%40X;6ovypC8PAnB67WH5k~-f(j}1|{MML%cTvJaj)1w5uYY0eG=KZ^7bTQG zXmMeSxry!(f4}eYM1GSFiSy&sp^{14;e~46H-B%;pKwU-cr~8x>lR9m@<AhF!gJ_(4y34A5#C2oF5TSXAN3H z-ai>H=$IFhC;Jp~0DIp zVE%RFtis&)v+RLUSc86CP}{)zFW^(^srGr(RLN}1_CjN0n|+3B9g-hB9#2>Fk0y_y z1mSqMLb9q`A%_{nbV!3S-|M)9hgiVe;q1!7VOLk$1Ea78{W#f^v+IlYd!i1*)jq!l zam;==4sOhE_F2$yP=4TOJpCahl8lfg2u1A+$z6VAvs%JKj(~X`b;qu7 z>zx8%6xN=lL~{1rBj3t{p4Bfts^{leuPTPx;Dy-H%|6`CgYu(G;_1%H2-4(hyg8xNxq3gvK$z{$7r!Q+^ur(`zI=FFGL=?O2= ztSnpz?XZo6#!UcbQWj2A$b@ehC*dInYn&@}khm;4!~&yapshLK#jW!i6_h#58+9A7 zQuOKe{ur9}X&8DInxpZN>aUbfiy28DDD6*nu^J`hX2g-uIDcTBtwzz;VomtT9ugjM zu*T(^IuKfQjw~<=Yw@N1xx>Y`@3mmZqJRTVqL14+b48QSKok@lpz(=Y?IeHBbfgco z`R4Xg*-?nR;zmN_o&kfHwr()t-#jwoAqQ*R?_LhX?;VaTFbZp{+I*{g9=%>S!gD-2 z^Wq$hKPQgM3sLxdb3;t^UwqvY*Tw#9J4ahi-%FvQM7JAn_wqV%m)&&ZE?4#gcKz*M zYV0giK4_U44>>&MH8u`J>@-Ifn5elGC06#7%4H9}_@KUmt?2V^XtLtL5<4`%+DGHF z;jaz-`Ext!Ld_Fb@1XX)wUL9Ht&v0JCtzMd?Wwypru@VHW<2EZn2+9NPqYqqV1bF+ zv6?3phwqJ^6V+=1TEqlUcsxU%W-6xB7U*wMBHoYUcv3q1QERUbkiFjD+0|}_lWPB@ zK-a^Q0xQ-4BW5_sj=VAD^YqPl$WdXwp$$Q-UP`dQD6B!h3d`PZ=YQ>;fDFdh$*|Ag zvDu0)zfDm8zAy3kM4u&ZIN6HLS<)}oOI^Bl%2sZlT;)+m;K1TI!{vjn!31{mozaGeUN;#BY zst;`8*?PA}zfJk7Kc+n7RARoUa5VA0WHbwm!kS-5N$KTBgyHSy6H#WzPNI*~(HzC3 zJNoGOjkTKbq?`Lnmlr*EyS(;_Q>(Zal?sn3&NjoQl-*#TyZNnr;bsNfngHX0oJ!0m zj}IgM4hmy|QCKTld!=-JP_pkOhe_zCNv`PgIU+|fG`br)KiOV0KgCORWu@oi$R0nI zI~R{y&9T??U2a^{FLyc@M>>pLO}hSqInXlWA&0|!DQitg7F)BxD6G9{TwZq0)y!nI z$0XEKyFv5`u*p#@iRppfehbj}>}+(Bm3r5bmtK`QXSJ>4{6>&2%SMpp$GvLFf;M&J z@Smo9Sqt#-uH`U4Qqq#>64{akMq#b`Rase(f4a>X|4E1+;vybz_iJ}5hWi?!yR(xu zK8prVm-(;lB#qng$2q@sXXSyzGhONq&nzDXETeU2DfPpY-`vuSha4s5Ly{iaCVzd9 z4~)Xv@*RK5X2mR?@_NoBl$;tM`ixt&Q{nfz7s`0LN8^*_87Et)=Op!YG;-O$-$^Nt zSnDz-Vr_Xeu-yGl(wIh5-ldfp4>?NA?@Vb+JnYz(1x8`b$I+;KMpIl^-<6Y455FYQ zXM)L2MO~l?I^C^Wo)&x$H^ED*uw}C+!>HFOB+c%Imf^ z;~__hxm`;`LRH$61x8_Qu@hCkZ0aQkzHCx;T-06BC#+?*Lb_9ewpVr3oDX_5J(tD& zE|d;&-0YIKu}EpU>aB~@s<-7~z;jU1;3Op^ZL4e$?IFO z2-qj~m-O66Q+}3?84o#1%*&S95wkklvA`&-om_aS{K@?e7aw$#^s zwLs*iWg4GP9Y#>!9-GKy4J}>Y2AisUUyN}Lcrm8p9arQ-P@R6B(VWT(lzMoV1_ zX9TF)N2I#yN2FHx13NS$Kz8w&DZizw84o!s%(a%;6F%?lSYQ;^JV#3_o_YSZ(S0-- zW$84CK5@C56)_RED6PAZ=DHA@^o<%DQY-u5xW_fFU7bp?pviT}f~Ja5z?QeGlYP1j z`_0^pha45=v777(t=q8Q%*`mQy>r}Cv3c{WpP#-=K`HZAGe;uY)PV&i zYUZ3n<#FHb*3&Zlk>f0FJRb_xtKo`4Luhm}!di3PUX-jY@9^m+Wju&;^E_~iA9F#6 zgvRLt8-3sw)!=H%AK!1rLk`wBt$0U5K{&8=`^~6tpq(4YRW=%pns{D14INNT5q*+_ zv=olhhoG3*i5j28`%~l%4i0p~=ALB2DF-3QB9IKW2;}O4tvlsFx2rJWvwKQ-$PqBF z*$+Nl9oVd%5(;bEH}~YWd|XkRJvRWIpOYo}cw5O)xa}BpE2mcD^Jrz3{Jzg(+Tm&> zS?sYy2pzbKoHcM4_Yhd2#}c|fd`3HeRKi1!fcd`J0|=8EM|Q(esQ>&h=J#BTQ0 z8(>l$faVTY*GncXPGRP}_C{~go9iX<_Y36(7D@D)Ef&(-;p>H$9ZShq9ZR`-V4uU+ z)7~!(`Op0=c*qejpO$ulm~!zrd(6>-+5p<~6&A{amXmsf-U&bsm(}a>E#|v0o0`U< zA(NkI#?#uMT%HSS?|b?eOK0ENC~OH=k{RJjPRl5n-f(9l{pLzH-r#`^4>6@{btqQg84Yw2bBar*Z3S=T`6z7Et%f= z@Q-wDTBT**<&dtOeaFMhI-r5*qgvSoX7YI)7LPUcbPdha9YNQPxpJ;-*Me zr@)m|?s9k$#JMjgPK#t$c?fg`PU~q-<5ic46AnlVrftliXck+bgzGp%a>Sf) zqalGvG48DB)6J?qBW(;uGaLVEe7FU|I+Fh(0^^ zXYi1NH7=%wE-^BsJ^L;%L-biTu@B$f>F=!KDS>F{s7sbBGP%w%uN&rE{3sEwiI0}6yQ&mWM`IPJ+PwBdjP z(dWzE8Xj`6#{IDFMNHXc$j-e}Bl@J(#PHg~dd<%`7>LgBk3^r;MY@bUIvPDS)zkR2 zIr~`tcvK3#_RAJ3?sTaz!u2HyjcYYJg`Ri1RP>oU=PnO9SmUlwG9zs7nXp^J?utGI zpSSQ8GbN)eegq=U{gdePdTb}gD{>{;S=n9VbEfZS`5NmKI(NV+%FeY$2>JMpgvMb8 zvFKxTqpbij*0@D+7Q`BfIcs>Mt*GrCaEiZ?`_;bt#OY}8crAQgQ|QHYXL2LgqPlw$ zjZb#57Bj3VnGW**P3;-lwbA{R58mW_G8iphWlPb^l!#^_ZWq#($Z&rk}(=5#G3VmO@kh1_rUX zExSdd1jxaf@(`>mR~K5ceHW?M{ZI4Of`0nl7dgd2=+7GUx_{0+D`tGlbab>6r5R6) z{RT{XSmXCha;F`skHR9xPzp_{0}Nu(XUWhI0dlaWe7@O=xZ2r@T{u*|?msHYU3m2U zvcrPLAT;i}dfi|C$A)p(vIUKNDcAVW?|Lv%u*Uzn(@6T=hc7~2YA-1?C1!6wsMr15 zo(~rw#+tJAUMs?Xj1>z^d<~yEjTACkk6K)Uf>D=W>UDCXjs%n8mx1mKLmHoM+J?*l zSmR%JZVdgVu3i{cYAl7O#0+9_TWb>{1&Fbx;Ct><_i#GO?hIa z6%mnY#R3yw1N0Lt3}m-+Uk9VnebwVRHN=+DI=2N8CX8l0zCC&}p|HkZ-)$8AWbRkt zbX6}YG$m#bi`%NXG*^HaYswCxRzzKa6$?z%pr0WBW_sv)_zbiuOTF%|XJX0xE#Him zPb4)yzZZ99uEjxJ#z#(<*}W4CTKAB4Z{0(QS*e|R-9NZ<8d2x3uLKl33I;+?H zp`YM;YvIuiCVJ-;aqtV^g~^!!Ark7Ym!~+xsRSm5VfL#dDr!k7NO|Y67{-&l#F4X zRF6kBHO==^WNMYlH{ISqx3hgBrKfKaf<{%6(70p3Jf?4=)9xGaHYNjj$iW(SzUmIq zHSaF#X*_`X2AW~-C(4JWO-ujELQ&Bd_5C{m-bu`vrQWE>zxn>1#AgNavcM$T{rU+q z$!fjuq0?dVOQ*wJ4X|XZ_4N2Bz4+>$eR#+bFz+Av+)n4j^MZ{%`%rU08+GmkcRVut zZ|8xbX!v1uePQN-t<3CB-l%*}bA7=nj+JlUnMfP%N+e}gNkY%OVp2b^nA-u2vPz=u z%Z>S1xK9Xj1kB%OWf%TEkZr#L?h|qZ?O;wKm+cp9u-QEnEnlV{Pi>d=%u@?*)aqUH zeOyf+^W}$qlW3bQA>{AVNy4;F#pH}m#at<{Kc|yuuA2$}_ke_l90Bu+_!0KDXGegS zgu>dD^bqd+%;mF;2Zy3SSGCXIFUid1j^1c>U9->FOZoC$L=tVWVFdXy752@?B2wp5 z5w{x{#I&)e34b_B!b6UL`Q8xX+++}EZV`GV4SZUqs_QcDpK_UZC%n+CJ2R2UEB2$-K8Z|Jycu3<4S3Tu774deD)*F8}LJ~>y_>+!Y4MGQLR zg~GQt`-Bxj{Rh_fUE{`+Lk}hhvhzh`+W8_*7ucwS33R!O37?h(^&hASU>>uzzhhl# z|6*WN1!#4v#&dyriKEVd&uL?|&t+#7b8Vv+y1lvCC-OkPe89eV`qJ(ovULx5%_oaU z|C2?WD=_UI3G`-|>-}&XK#qXvoP>v1z`XO7)eiZO zR~G@Ju(rJ-jB_8t? zY_b;$Thr_#&(4=`i;AbOELup8{}?aq;fu(1d=ZBk#Pp^&#=Jh%vmh2Qe^nk(6nrzl z0T_j~i;EU=y>lJIdkzUjiHv%FzB(wGwov~`Sk~;*l#ws*RTfW2UR_GI8IT}QU@nD&4Ky4Mq9{tDa&2{{7hCXNL~>pTh^F2jA0#-PcrF6BN4`}(m!4p!)r@*&v_Ub=nKv3~ct zeKuwvV9sCiLUxmyeReF#mnY>V(78U#$a0HBf!J0=_S#m&VFoc>^3<63yDs4&7BHWz zrB@WDujc@a!rES+W!%L3Z^l%DPn(TupRZMU%(LrWsGn!E&&K)r@-Igd=zEDvNH>%y zeB4+>KHXTvVFodM8fuD}mnA&J0_Jav$_l-z%ItwrSi76JgliGl`*_Qtp=chwNATzC z;DCKhRod+15tuK3cRhiQi;5xpdM66<6-A_MMG=QtKkr2P&?jR)>a>K1Sit-c zxwydQ>moZ~6xLQn#c(GU(ngcPXLq97=lSV8Ms>vt?Ugk9j2fLU9}KU3vqu#9N|q>` zoK-}gnN`GL_EMHeGvAH**|icLVgd8@HDByZ48Iltqp$}3xSMUiDc*q30-4(9nQ=Zd z;J6ox{@z^Qo=kuSbB@fS$c?`e1f5|;q~5S1t|hS4UkS7eY%2(Ik5H7~QSI}7Sph>I@j|J`n|&6v&X>DSgL8A=Xwvs~g5YRYL^_xi zahO3&w}Tq#d^jIKEMQLW{&fYtWAy6?#Ir>mOQu@9#cwei+?)X3GOl)Hmd! z=6kT$+kJA$gf;Y1lQ6RQ+!}#BBut_;dRtJj7VzV$)mc7cbA)Y>silPXz1S<(T685!#%{qklyg^8lT~JW8^{a2h-#C+LCQEhX_ATE+e6F z(}A_g9769;HsRk<5*~7}#%=HJK&(+ZvcRYVpxN!U<#LyJ2yu0@5Vg}@^y$r6DqJoq zPe#OYopnU?!j(IxY3|l?>(JgN9T0Prf!gH+-{|3^L>I( zyO{@{uEgwSdN$=e&y=6I(2R$e$6R3zb z2A(I7lhQ-uGx?+$UD8sEYFmBPb<-RzzFoGtn{KvwWic>_sSkCg{5*Rz9&&ihedFzk zrK25KV50W($kmDsA7ZM*zl9;=uioN1c}tJ&iYne5*(Al{{V1--Up+XQiVnAwou=El zmNZzZ!ZOFY#$=AIhyqsJU@2<{Yx}9N?hiRC%zs|CC6WdcEHDad(655rgN_n-n*{o%%oC0KGCOq z$wp~Azun}>+$iTe*LQR1-Dc-)?>3jU1a|%UZn7F`mj|H^2ss?)=jII{LXrlsz$mPZ z$c-xdw0z%v+oCz>n7f|nGwoQ8;?603bk3y&k5BaJQ=3eV4t?yV{baY3qt25`Gn-VW zD%;f3KEaROTD5)R_62H+g;3XooJ!394Dlo~hk3HVD6E})yt{O*yydTLSLdL-2`xmQ z?2|c)yh-|Kt0Yo0o>ngu(v9*9Zf4q5PFsIosI-qC;@m4?NZBrz3vRhzF1QVaZ80z& z$f?A^&ZP#$5^JTE;v*y4~g^^Y-lwX^!@j2FJu548s6X}W%?Of)i zm?&+9D3{?vRCz2gh^615c6kPTAV-P0)9#P9PP!lSfl*k4e&xm+RKqF5aI`2gNc8cH z+NrqP!WelE&DHn>hpm~}pN+o8Kq>YCu?Vt#L-(n`U)PztsjGl-?N@Se|x^8v(4%&X!| zh!RH=78r#!=vV%1LcMILLpW-)UwmIG6zSQDY(q1|nZMWg7*$ls;6fr@+!*fSW|pEX zc0cA)=YFglGl-?<8cg{xxSs-IrN~Rn3HK@HEHDad(69XW{FhsYyM-g)sb|#tjmcJc zwvwQE3)*PTqdOC>%f?RKEq&5C-X-|?Ze{9$J1%(#?v!f*>+*cJR8?=vkAZqH=lFJ`KCS%Feb`N%aQq zaOto|rM$7^hs)a~KgxRmo3L9Y4TRcqxV9M&IZDjSS6LC`dt0%?ogd+udRC z$Z$0BOk44~5I-(U5!}8HQpJkbSMmI2v0ay1_5Fr)YSUqt<%e!6?|s#GeeqSlqC2oI zhi*zwe>UZhwu9sP`wb=L_Umnk3JV(+7=<%c=dJhsxGpvT$9L;h`G7bCs*-&Q%qdK`h()(v%*ond%8>Xxh~tPShdg!jdeP!@rl^DhuSqVR#vrayz7JVSd~jmo~tY- zuL3iOWoC~}`9s~!c!*V)?^|L|SUt95fl*k4eiZ@audlN&hNDd%Ux_|*MyDy(%&|r0 ztGj4Ehs+FnLyfLh%F@1Ox+*5AR0X=9TuXI7Rg3}#vCQV0DZi$-84o!s%)`Ig6LS^z zEHDad(68d%&$C{WJI_T!6^{6Qsi;4-LGkLhJv@)$ukrcy#*y|}-+`(>^V(IWrN@7_ zc5(Y@?NT`o7^$U4#T|irTAa*y$l)>9s&gQ0U)!_5MD697*A;DI!*!%v<|6XbUeTxR z>O~4-x(jL;d0yjFOXt!Dxj3re!4$X5eyjPLb_d*`RptUq@3)$|z0Q=^4l?5*2Wyp; zgd=gaqXXME$V}91A55u?>1DHgr2jk=C^f|Mq3{ThDJ()5lv0K?pGT3up?t)IdTQ^) z%WiwE8u&H3CL}b@9oTlO2CADeTr;c9c*wyTw{eRj(Zj}p?O1I_8G!a=!sW_SD+Z2O z)guDUSQsJtIPScMq#+)N49L*wd8jXiv%JRa?m6>qjv9_pKH4!l*#321Fie+f%Ls9-G*IVZaLROLsYXiud$o<&;>nWse) zP}cL;n(=fu<>jZIC(|#;k+O{_U8veoO+w>N1KWhs>2L!*UiAj?kb^aDOusKYPTDRhBHrdUJpTNA`X4%WDZvR}5A@4n|>TOB0UHP;!IsLbTn zCPR)#pwf%#`gY4tI!vNX0E+0@sF|Oyi%-b!c%{&vdw-Grn4c{K$)Tsf#qp3MV19S*C)@s(@AHSZiW7YV$rsh+znM?NZbqO_P3OepAp3DUW={VQbn=gu zrY;j1d_n#JYRsnZ2`csXUSZ9|t0Xk89$514z2bQKecr)C4%WEOHu}Vr%^ldrPdh}P z+IIxsWePfx@je0-?7boStVHdZcrqOIec4vyL*2S59|SdXr<1cOtBQOfpzVD!u%?6XvVGb~ zp(&37YZ0e@4y?~F2LW=hro6k+lIR}RkJbIE^=E~2Fk*HSHPV{M}ff1$s0p^9Ih8K4;V|KDKUds+*a=NNC9H3DKpBf2zi1P3ry6YpKv?Heq71? z`RJ{`y6zk|n_%j4GEjPDv(KSvhKw#eTc)QEcQ^$#2!HfUq|lU@K`d^o@$`HFVyr36 zv#p4f-Bv6xQG{Y^iVz^in)1#eE8_kgD;AjO1O0?Jy|8UP&do=zFV*)SbaS$1UJpq} zmlTx7C+fTbvpFi6PCPxBo^b1kJ+20mX$k;=DTz_5En+Xa?L665bAJ?Uw^cVv;hBJ;>(Sf>8gwUu?QfSKVzyfsD z$917tG>6BqtLUqP2zdSeYOFt`>#f0^-VP6 zF&^vH_qj8jnz-+f@W|>m`Pk|< zHxAgieTT$tEqPMGLymy?o8)f9=C0k@(8m?xd9>-~bpF?@i>tk@q7mE}CHj~x>C7yY z`y?Kr1kBBB zwF#Z$?btr);nXwGDpHTCIvxLVZ=eEv`c{ZOafd9K;Tb+CEx!5lPRyJ#IkzI2R`k}E z9ji5eYcPtbHE+NuympDzn>0{A}%guk!q1D|YIXz?pJ#m6W`gSec)6%t!T++3S8w;#qZ8Du{ zW5j>9wcsH~!2HmWiFTXZClv^`7SsvQ26#)93pO7f?l=bSa~h()-%>kt6*F;yH_F@H zTt|OpR46xmmPE(2h$oH0)(hFg4wE^<4s#~JjKkK`B{fhtYn1SiBVgX|(IvaV11=Y| zZj?|;(A@v7<6?dl#proPqs?2?b>|gxlbF2@-e`+?vrngr0(tjqE3}QO!nK55@O~ONrHSXw)4@B~ak1Q|>Yu`UE<)T%5ekk~Ko2m9u^-pG|SbL+? zkIjESBxXZ_oOMg0PdKh3?{i5)bwvqTRZ+rW1~L8PhB4puv4n?Mz`UWuqJrn=7TN)$ zum=6OoP@qRw}Q`(Ahpl&CCN+{_?)@c?4!G|KpyLqL{Ek1e!5pA372-2kmq-maF{_% zkAlBNG6w!m3B&^CJ;xOnDCEU;;atoS$loJZ% zrtsS1cgB%b$|T`PYzfK7mT;IsOh>`CticCj0rT+7`wJ#;`|W^HSc86C?vbV$lvgy$ z@l*S(E=y*b`gx-%3!8mL5Cw84NBEm0qhiUG#Yuwu_!1HthZ)55)Vs!foBI+TVytoU z9d}vg%N+t3g*E8Mbrb&;kT#_N7=<1zJuUMbi%#wzjl8y2Ypx5ohh)l4W25OQuO^UfCoT|DzGjioILsiX zogGd1O&j6;6B~^+Zj{W4AYVDNz$mOudNqNw|2FMw!|rG_>Uz9*zUH0tQ2L4yXj<7p zjnB&3czMLuiS(cwa&oB8Bw=@(^&~V7Gl=OvGfjA>sS+MytZ}jTp*|Py$O5CVM&6Kf zLp`r9D18!*yk7WuGEnQMq%yl&~9AO>Q&vAj97r~l(rUq-VPq6nDvE1`%3z1 zd`wbW%bA?3RGqTat#Rx%K0(kX69sLK2KH_2HL5oawU@nSJmm10_p);!F0OH8fr*+* zSz0O7+wJlxU4Sgsi|hP~UPo6ej!Figduw)U)^`ltR?&C1jiK&16K)-cc=Erz*0?o! zt*N{UOnZnY^>ddge{Yl-4>>&MH9zf%9}NyHFi}%E6O}T*77eqtW6&I(Gvd0~qNa33 z#WgE*V&+dgK5>0iYuqWS%w)H$YTz2zZ@YJ^&h$R-da3vMib7zYcJG$O-!bKBV>2Fd zRG53*up_J%*t5VWtnDATreepU!pU3d7<9*_i|A9+Doc^}LV}cOjvAlOgY>9APOqg; zcMC3cA73l)i*I;KjC>MsLMc(67%7_HL>i2H4BWwTCe50<=fA;9rSZ~47wH{F4lhnw&f^ZX>~^W ziSP{Z|LnJ~bF`#y*2&2S`t6;6bYwWKxK_?b;#!qSm&-}_whXxoYF3}1ZU#9V=JDkW zv7nY=fl*jnqTjyEaM{CA8#l(Fs|y~G`1|S7i#ZCn0zLHnx`4+g&d=+PTgfkLO5E}q z);hh?Ib7Mkc)L?w$@bFEktJ^TzL&VYgKd?859Cy0UUtHt$UElG0;8~&U%$50#g|Qd zkrRU|1a*Bb2I_O`ll75VW~OF5uWJ@aA3nR_wxHp>)Ahp_D=+6Qbw06wX<5{X3vRyY z7u}kgO!;L{pM#uA%x$Je6TzdRSzr{_LcX3a&097s)$>pcGI%{v+;7Wv=P3NTc0n8E zI-2=;ZGT^S`Vm34+BLv=cA6b`cli@%j}=eK@~;u(;CMUo5!B#jKz$B!ILr&ReTg>@ zeOO==)+9Lt%7#&M!a5elptg=_qEGqC9L2`2-O#)SOO4Md|F$yA?AhdE-&xMf*M)K8 zx3+hQ+uFXoGGjKW6B|bQz_xCJ59Dx|_cW6dLq3o!FbZppKC{XmTlAedyDSC;JN^-U z0{i4Ba*BH(-P9nB&l){f+1OoY$c$bp=ed*5avkQ#TT|oOUmJxs)FMU zayZOWFSQ}=ZfwH>qp-HFm#VCwckH$~su(nelZ(f-S@}-I+hQYR(jisjGqh`{EO1Z{ zsjGi$mm?>7DEsaUcX8hrUhV;G--#YlBdGNiKz$B!l$g5>{c0On@+BV_g|z|xt;@H3 zzGQ1$6@#`L`H4Ozu{#xMCwifk(|2ins>a01J}-BWI#220GRnYF*(qqXizH}u`Fmi) z3>>A&P=o6Obu-9OV($O81+jT&3l(%BRd7JN`gT46>aVC;E6wcPjGV8l&{d z6&jzvk5gq@_dKPQo%_3VN%m5XnZCtk+Vm~um_aP9g&N#x@PSx~c`fQlu%Gl^+;;zSIhP4|dCQD?FhW^+x^@AG#wKBl@wvb%#8=u@duEGt3C{E@mt+3Tx1>d_$iD5&h1_Aa|!4(Pw2~wxV~g8M>15 zN8|JB@L8G7piJqz$XJ)GW0}ghj7u(CGcJ{52C>u@YH**RJ_oT9^EDRzh<@k#vcM>; zLBH}3&f9-fU5r7U!|#he3BR%w`Fka(vrcEtd9+=pSF+?y#Zn{AbwROT@hx6uH+(^l5*3hvIc>b7cK-sK%$HuNn1r=QrukrgJW@!oDk4eDC9$ z@V!q3W)Mr;eS&8)p>77T5_4sgEz#K4mIX#(4f>U5KiZ2W+qF2{%TP%XGM#C7GZAr+WGEc*$yvDyx1Jj5!@1HB01$St`3 zbb#wAXwa{`aN>%!@88G3eW}5sPru`t3e#tOkv^TO@#zo{N?jWaC9DoTTp!(aRn>kC zcRl|#yaF?bWihW!`NJK}c!*V)x0qu`jD1b8z$mOizlz6~C)GOqia{Y`H;X=oZMP|A z*IA;Bj$$1^ye>p_NT(eAX35^7fv)pRW~(}G-|E_J`_>A~AeISF;TqG;jE7i-`Rp%t z#MWtcEHDad(68c~o$kORIt$T}cY^4%rF4rTxXv26_5Pq4PkrnWN_&5XY)0Z@*R$qZ zRiRyLUFUYKt-uUoS&w^gO)xU!Ay#4D>#{x3lCWoiQCNe16?WGwgJl*A(OoTV{C-iG z3`P2&3K4a zn0I3why_dSSzr{_pkGCcl*o2Zhb}~Ye-0OYe*H{Tm`rd)P9DoOK9h1r(8u-RZ#(vD z>-H|aH}Ag8({1Q7&&oPrZ_;~HR^_Jr9?Fb|93FGqS5Tk(YtI4`wfuf(j6MgD^<|+EWaYgI5z0~+z8>*xYW7DXGrxv*_Z%X5Bl~rzb%Bo5OV2hg4DEEb? z{G3yW<2Bwn6JrlB=%c4u+i7d zD6IXzrp`02Y42_0iVH;q6$NA~GQ&_Qq)nPrapMBF;06~Ws5np%QB*b#1O-9GtweSq zZK8mCAx=d7Il(Q6d+T$b^#3W(i}T|CUUzbt(AG3p&T00TSLLR|hv@vB7J=q|5TB<~ ztdyTZ)lMUjY*4P&XNS&u`Pr<|^yR@GWXG{%1TV9VBx$yhI|Ho4*fF$iqy@ijlr;}M z0_OJwcVdpQ8yh{!n!;MrU=Oa%x@FJLB}Sk(sp;bJ+$#=2kAI9p$2#y@ANAgJd8dd_ z+PTAcvg^n&p{-XY+0HAI>j=znWEd@xS@4;g;d^jIsDOE4vO5v}*p=P28J@QUt#gO* z+|7MoCH03RkoBtlqEENNZs?9;EZXXLMeB1oZofQo(|S6mZXWsa`+9*6Jxs#jFoPPN zjkDl~vDQ4)SmO@Ex)GT$-x(N%wWzvz++Xj-FUax;we{PjMalZiD~cQhmb|f z{i?r(C*&m~6KTV+c(V8HM8V;|A`%8y3JhxcF=x(y|831f57s!Z%p~I0l|=U2Z&)7* zv>&1ITxRs556>$j&}^CJ{@bD#8<<7@ez3k}%Y5hU%kt%et|iiD2h+%xvy+6``-@2! z9A;3{a}v$@KnHmLUQ5IpXY_av(P*@X1x8`5*TFPyV!@fvtEVH--QOCY%!F{}Z;~IH zX4`TxoA@fhZ)rL9XE5{J=%tcTEKkjIe~cjk7t2V zSnIU^7+3!_=;-dV5lER|Aimz5R|YZLPy508Z!PP?(tQf$=BJbBjz=$%XOohJkm;r5 z;_0OvW>C|KC(QVa-!?qd0_Mr7HAK6s*Vy#mHWb!c9lgX^2R-kx?R*3}5v;jCns;Cl zGf{UOqDHi=4?Cwrk=)ZhnSR*xleF!fB6J?6Bw=uvK}~OZ)r${0-J6FRYg}$dEiv=X z9Tpgcwd+kkx%>cs%`n`Y@Acj6+94A8Fq|Rv(Mx8={iw;uluZu|kTl z$g7MD@hammgPKlxXv(*H+=qu+z?`6JiN@7;*tU=RP*}@-VWk?9HmUcKD-o#YgksTW z;dh$Z@nakcCtB7^J3XmH{`pxlZ4wYDJ$@`z$T?U}?m1Y_eFerIOQmDp81s&{c0BY5 zn9m8iKn%-0&)VDCQR_i_?H{Nr89?;9bu9wT+xowG?-TknDdpqQ`0p+EuaBNDm0xsE zp(jV?NR@}T2vaOnPIDl_Ez3T-F2J6iER%ekU=#ko;N1G7jt;* zWK?S3@?3!9X0^Qf5gd;`l96}Q1jkktq*JR3?mjSdH;wi^uFnT8m-EmgU_N)%27=F9 z&kkHJr>25-xHD1*#ny~D(GY3$LA!E)*lTw(#%Kyae5&S zJy_$am;7*N3UoctQh zZJz1zM%Mj9wqOL`<6v+N*L7y9?~at6b6N zU|t#zJy_$eM|L1mAGc$F9M`NvyLuMn0*VoAl;LXhap`r@r}n)rv$kvjdSuo{n`gP5 zzagIt&j6Hl8${(-9Tqy))RLWQYPl3(sa2Z!=vUhu;h{&s{A`cz#Ip5W+0{Bn#PinG za1ihH_u;j@)~k_h{$0^$bW}%%II|eNn%P0?6P{ToX96H+62ho6<5+>d`jC`geaIyM zOCQH-&fAoeJoE^dzXp_?~nCZjUE*~7JY`e>M^~`!ja#a z&RU<+Gf(98ur@&cj_s7e=VIZg!wd45!was@*i^dVQ?cli)1{7w9s%?IE363Os|EY5 zbDij;o3@?rP<BsE4_p9v;S^6&jp=@A1?QI}7h6x?b) zk?u90xQ_i(>6524^G}!8wicjAz+9)dEn%qc&3e_g7XJ-5`k&%WF26rvyJ9u6+wxuX zQA@is1^YLkS@+DeKGy<%$VVEb(i^(fQ*Z0e3lAs%BVlluK`rj<(-0#8YOHbJ=i3qG z#(h~}q6XXX7E4A~JvzP`r8#TXyY-pZiwV9KkG?%vu393 zPeB(F-L%KkF03M<)Dv-E^^L;>sIjJ+Pyo+$p0#Iz ziCT2OzQX1dWfU)2gC3b`a_3c%4ve=d4V_4qX?-TkdoVX5U_QEwH+^YKlQ86_i4=wk zGpNOVS-lAopvIc2(_}|t?{xtb2R3NQSWGhqV;fxgeK=BybmHRAgX3eEARG2|6?(0zKQUPkL zsb0eT`bn!CSYVI4?DnC1*Pu=FHP0XH3nv(KI0KD4*Wzg0W>Aa!lD=LhK#et3QUnIvkkopAT&((Iz# zNYvxBj_4D9-GoW#6^Dj)?xXb~m*_Av-@B3fp>5-@1skJK1I%s5pQBHv z=Q1VFeUbUemb`J@^QUcqA#lJ+~N}{&yy3W@pP!o3i70G zf{;EH=GRY!^_77^P5*^#*%xwWs0GY>R8Ku&oG{e|7=^VNHY>Q=l7iG#o1-dX8#TG} zmGK3P6Xedatu49p#e2u){)6M`#xdcf&Z&4I>Qg?s_ESC=0Ibcac=|qM%jaR9EA$AM z|7Z4`*x&vI3yi|r(=p+k{z%hIzqlwA8>jIRo|Q5O4*Q}V-CKMNS00z^!TPzy{4#Q3 zNW3sJC7+y?lFxMp1~uIg{su+x_kkV(^9zn1#EDu73yi{AA-|04ck92e(}k#t9CEw( z{yF_~J!+mk3Yip@X|Lml+HaSCw_8YmmW(D{KQ9!RW9g*)SUUF=7}RvhPz!!YB&>UE zw@|=brgkRG=DDzgBdsZ{y_SsTCI;-A5d0wuom;nD^r=d_gU)>#h9;?YX?;!xESDd9 z?@gaR?MQ}X4idUc*N`x{Bfx?)2hrKvV4VdL);)gjjWzBM%nSVj^FpVS))dy#Pdjo3 zYhKss%GRQH4?IPmb@A4UA^bq}C@4tlV^Z!Z_rKbeo;c^HSK^3nLa`}D!r-O?gPLB} zY{54+Sn<$5Xl) zK02W{=~22zsRm_=mrlk}zJASJuZA^u%Q0)6ag_QUWXYSwSn*Kvn8!G~5sDypwpWam zs6AAslsiuIZm!UaM%Ar7#QUR$vyv4*zqz1#(^>fcJ@Nkai(v!k&4~uo;<`r9WvvbQ z`RQI>3)8*IHvwDP+K{?;(vlDMu;QVI$Gob*m3Xk;ja}#g^A|u1tZOXunf~(Dsmal3 zVdEn4{&nTHOhrYmEjnAj6`x1Z=km?@)DBx(Hp}1C^ZiL$Z63JT(>`!H+;g!C;H@FJ1wm!y+pPTT&8{pk3Tv=kscF}~;T^bWH2;U0=<}K1tJq*= zfbIoW;Nug0`kQ5vD<6ILis)KAAb#tQ@~0QF2duf2UGn3b>x_m~UHF?^U2z`sigMqdlI%p(avfs`grx zeqg|DL0w+m)7(R4Y+m}Vr`l`1TJ04B`|1L@GxU^WZuM&sF}!IJ3yi|r(DVZ(g>JWGZ|%rM-JCs=Gwit|2Wvfg9I7q-?~NV# zKHZ*d4d-SAoHyvR zy3XQxt83j+HgEEB(%56EN7nEaT+YbOk}D%SD}yF2CwC27K@NdzxdD8jhr^t)^(0Px zl(4`ktbH7?v~=aHeLMd&M5DU%A4DIC3(TjTXN+!bn65pZ$&!9Dg}RblGqKnsBczHm zTPcyqS4xzLs!H3+Y3`G$lBdP%Rhzs^B$?hN%4T3siq}h5K(_oCa%bpKVSX>zinwZQ#R8+S2HPpU zj_PJP{EkKkr;G1P#e$$5Md)rTv^o2a_IQ%&FUzj)+$9aGUMDFx&Quwnu8|O@Ym_y> z%8WCm68LO+1)n?6qr&`&u^q7j)`J8_VGXuZ+I4lx8`XLpY8wAUJZ}p=WGmX2TO+5N z-L#*hmtMb-H9skqwwj+VnY^+@Wt`G1AyS%^m_aQ)2ibBjn6C-73iDqJ9f;9-4lFPV zYp|WNe}h|P&yMSmth=80d7UfCR=6^~QTPRMopx`3yLGhUp;loYG}noU{o}|2qp$|sDL;}oy%!m-Lur@oL?3$jZbk3p zKIm`rMD6i7*gI1}t=h?4oBoqL2yLh4yxly{c)OKh2DR*SlO=DV2lJ3ywNqn$`jIo? z66(wXqp$|sDbEf&nd@V|4sD5=BKky`W+~n+?~7KQOwjs_nKO-=l1s?0_cHJdcXv@w zVP|^=va`!DgIbmVYpP#`_12+QV{Y0=5UoN978r#!*sknoDmk>kVIBIqIzjYFT)#_E ztFT8g24b!up0^z+i8`eSke%5j@tpiMKy9@%)zfxoY8hrw%Y2_(@(!>bG1O|z$9;7n z1}t!4fl*k4?aEB{o%>r&!|@nbiavpH>59Pr98r{Jo{s2q_IMSQ=8`E}d1|d^IGd?H z>U-WZ-}ihOX3N-2*`^1UyuX?K1ll5k@kOlUzVSx^!&2pf!f4d-^woBdP)}ghMMWRn?z-C3njeh9e+%&Dv;MzsBJe8t` zZZr3~=0x*o-BK^_bxX@-z^*vaRJ&vF{uu)6Y^PE@<_V2%#JA6`EHF_cx0#o#7K}O& zei?lJyCnL&{xM(SY(t{x!rxk-%XXJ(&oBF^W&h&5Bx`f|npQPl*IU(;_XpN*Z7x+A zY{_?ywBn(M$J}L+JJHF*jRi(kfhPSIS6&|yweyH+3_=AS`1Pg82<@$K3>t_6I?T~t zzvbWbkT)3^(s?Q0y(Gzo!ZAlF34?nFY(TOhO@4%RkL#^?=)oG-YM(n%Y3;@Wqp;R3 z<$L+UL*u6R7!!lKQ<~>Gb$pMa+DSgh!>Z-EPNz|E@;Cb?(?7H2WZaA?LTFMV34?nL zZ1apMbPzndX${YHLJ!usD;wO2?e`(Chvz!6b}?Jd_4g%~oP~K`&3c;WRBz3gfWGV+ zjcNm1o>R>;&y;6$SWchzn?k;Pyj%#plug3m(t$xu_jI%14{WvOp$BW++EebtQ$sfv z7=^VwzbRZhok7=vLt{|p@q^-d8#@KAcMpt3ZQoRD&zmQIP(EX5EbWpTOy={k!nlH? z!M&%4$<^k9vfGHwh} znm39CMqzErkhR>vLHA3CMZ}=sU7DOWQIW)KminO_NlQ+<_Us9Hj|qwN6_iX)e3B?w zG#8PU%|#q$P}9c8%=rs?Haye<=5InWiK5(HEHKIhv=Wre{h8&gNM9X;3|UQn+M#D8 z({Gg@`dHMG(^h@Xm+v!AqMOKlthKC*j^QUgb zMCpPeHf*X56%X1qav%3V{^nKEni$k`u_iwq{Cpu(bixlky4;e}uDw$rpPrROTfGv< zA(NAZ>-weS4gFFMGpK3rr)IqMWg8x90rM9d&JZh4oo1~r+fZ0*|4QIiPgt0?B{Bv* z?5D|3lOOmqYd`s+Q6F3GBi*Sgln3Z0(-xE7kwu@Ah1>s1$vgi_In1D@-TRpFCh@&_ zs0GXy&$vU>W#49v<9k#6Kzlp!9k=Dp+rK}eV$cMI=6+RUPamdX;W*@++LE76)GL<% z+mK9m*X=7csD^u>er2TGuZ(LIn@o4DPNDmjoAPHm+49gMV16U}HZlIeEw-wYEoBed z%?^E4-vu}6lIR#D3((}Jb2yr*`7;hZ_H4;dO=gzJFE%98Xw+Qktb$a*?nF6hf1;eb z3~Ww8D!u)oG4JPT$3u^Rc~0tti51vbxQJAHDTAwT}^03Ld<#=RJ_jo2EwmF;nN0Cfwr{onP~A#L*a ze%>5|Mvl?UtFG>E$~3W)k@99se)_EoFHd-tLN`|V$TFE7!ocJT5(c*$*nVaQ-NRj< zU;GmB(1SIO+aE<(c8X+WFA-%6+RI8G^{x$VdsijJptGy~x1M)MSLU|LAG!8>uRWe5 z{|dPu%*8rqx>MG;eWyTvJVQ!9p5Y>awMpAauSREtrQMta0ZCesDhbsWI<~f3TRF{l0KYE%8`C@W=KT)ZRdopYEEi$0YBb ziI(?o$xpvlos-Xntn{bymF#R?w(uwBG6{pr19qcMlb=rTTgO8W*0|%pUORgizsQRl zyH50(Rs2fbvC~tFh>RE%rnn$}UN`7=V*J0)M(=cgY0q2pt&8&MlT+zgk-F5yd3%Lh zhpI^!+(uv(^EAH~n!_dV(1SH@V$Xlhb$5Q{-7ilNeeSK+C}0N5_lx)3yWCCZp$BW+o$noq zyy?1ZyV`Wo=U^Gkp|~@%H+3Ke#m3$geSBek@_I=y+BK_XK44|_E%`Cn=c?(x)Rcus zg>LnAWcT_y?maNcLe1}mnjAa9Lyv&@?0rVWqb}Xql}Ap9KK=cD`R)Oy9(OK`K{-JW zMW3!*Cnm&j8MxK#R$zB9J{Cpd@!UFeorfL) z^QTKJi3?5Uti_@0qK}1h5>E#VPn{#gAa>GA(dVMSKGVr=HLC94Rhusi_j@I$5d5a< z$ULe~H!;1)uv@NSo0VgARPs%o8v6?ghCS zZ6R%yt`vr<78umxzFvm73($i#)tzVdM9f(`wjo6Gd!f?{^@a0;qZ|HNuSc%AUGa6H zqR-U6%rgINXy#x~?eUDd-j(6Eq|m+%o^*Zd*Mf_04=D`QNnlWmKI!|W3ebZ!RpMU< zV(};kcH6$GqBg3*Q|Rz_L9Zk9dX$!`$<5kccVryOcc8WZFELut_x~z)zZeH&80J1o2xK`THM!nX_x@DfO%qs z1M$cm<~vKnL=CnRUcVVR{o2g+=%~9UZ>&)e%#WB1WE+RHKCfY|ZyMI_zP)BFeYn>b zVeAWYDGU{6P>cJz;<-$K8fz-2DhHzcm;(z;)L=W|iC3QA*X8Tc6a$SNw?PaQeg(Q zxG%jnAp+C_=EGLOy!RUpY^OFMq6XUuAFtfpccFMax~s2wz13W^WuATAiag9DTA!`Y z44I-d`1`b#(0zNp5`<|+QWz@CpcePFwsNunHP%$OZrc+PiSRqCm6Jv7Ra=P=(e~Ip z$;P?_%%VO?@#^3aI1-34@aY`(&gP_hqdAl!qRyaknp65PfAh3D zpntdQVH=P-L6d7nM_4c=c>$=uO-rsB@KTTuzm!5xA9Gyh8oEpP8CFI93ajD*fVqY4 zqGNpw`QeM^^3Wq-{?@c7ai+|e<$~u@aiDpOKCU*c%$WUd;s)elthr7MF%D%~TaQOh z-YwUojUI*aeUVADwN0|LFU)OE3@as*!%8_8m?O-6Z@Of{XZkqt&?8{pd}@fxL&eY& z9zIUgSTCf#iR4Je?UCfDpv{(6%9Z+JF)5Y(O($ zO=$f2cKqByrl}6{(}68MU*_k@xkvHz5~Fa^drE@PeNzExys3aY18n4!1iAt;#qW^+ zK#zdAWrd#WnP$EG`;h;XfL3h~&h>ix@pCZvMEox|o8xqx8F9fE-PdXH376!_?`?^v zJG5U)PSZ^g0{jZdAio0cA+TAHn;nNtaVF%A&?8{(_CC(Fq)UAMG{_sVX4q~i7ky;! z)CBM;e51*;Ms6%%T0w4R@uVfsQkv$;cMOcD=TBTto`TtcA3X}lCXWIx16U;=PrJcd z%~Hq#p+~^n_x+C(yKnw*@q`?Z0B!cf<=o1ip*;9`Tg{`eCD`#ntUEk`dx*!fPdwa4Zq;`Pl1iQ8c&zN zTC;ax{wdS~=2a7)=Zzlu%o!MkHOcdE&TW3+!H;k}eg3zO^M(FJjJz1uznver?xr73ZrC3$jK7&rPPm!R{RRd#Jq!NUBOz~u9s%?IzgxQu4%ayWjKW%L{c!I3 zlyz7x1FOGV#RD5z`{n)Qd%UcbHklP0e;3ZZXy^d&Dggb0pFJ4vUco!lv4 zP}3C(3x0nL*DKS@F=rV;*t|en;()8w-pw0Ig+E zS{YmR{`FYdp)_CFa#*u&9;{~vJ!;G^g%HI2cLWQJ!dipUvCQXP_QY-zVo}x6XX1Tw zm)F?}wSyIEc->y>lk(qPS-|O1>G1GvlA7^K)wNC!B@LY(D*b^~k5@|1z#54oV15?# zs4&+V>_m*qaAJW`ScC19cC&(Be4h)~xdVHMK0ANyQADTpM8`h&#OF~w9^1GNQhnnl za`6<-V_r=YXFUF!N9g!(rLTK7k=`{;};o!mogU>fhK4c14 zs`y^l>+-}134h{lw-d1 zR4`#u63halux8hHUx{8w(c+PtVo|GkL&f7sKDJjeD6lK?QUB8VES`Nyx~YdHdFx_t zkI|eZr}Vt$(bwx*sTZ(ToF!=rS)V7IH|XIo_vt;8X#H;n3yi|rm5aSg?*xs`Z;p#a z)w@zfpR(A!im!Fu5!=aD`}f&OwUN1w2qc|$&G9(z9K=1C-Cm+Mr@hj2NFZ5YA4JZF zzrjWDfgTQX-hd&FKasP*D6H+@Ij1x+J+ym5Vl3J>?2+i>$?R45-R_AlbR4hsS$o?- zR_|U!nqEKTQBqsPU3^MN-aRFhrY=R~%bP`HB4mBhkOM*whxvfJ2E@E90~Q#Cwal7B zrN$O3LszGOPg^JP^%i}1kD}{aQ?yMMr}fF2K1DVtxr5Z#y4hoVTV2(s)ajD0Tc#@y zCUuaOw9%EWfUIv0wo| zW@y4nSl8`;uY>E8mdU=s<*1bK0@-)K0^5w*gUJ=(#MeXJpv!-QDI&z z|LSaTPa_EIiA=kFXq7yzVL?7n~dla|VnWH)NC$v6{d6I0^jzQA6VfKQfvQ$ay@KohWU?-`;(yp+t1jqrQM}_&@-JOUIV>+?GD6GMD$~_syOZ%q9A}Tsv z^jY^cNAcsa1#)U9{+_V-x*p_pKvpv^RC<5Q2+2(MFqJ{?Ba+^|k0`GJo8cZN{S8@P zDCB_9qryCJkQrh6u@?)B!WwL+d^kmzwjezgZ89npeNJZPD3}gbsLRl|+T;1|CCCQO zPnNngEs}UWOjZR7m6BCLrBVS5YUv}$`ljLM59TMUFfY#RLo^NQ!vdqQ2HPn+Z@Ra0 z|IS$SGv$it^GuqfDATh>O|C7UZwrfRWjVh4rAzAKBrEFntD@>2NVe5IP}&0fb$7os zA3npT!si?Gs4%awwkKYmvtxl#SPQI+Qy#Ljw+YOOMQwJy7k&B)*^0#eHpt(`T>Cj% zf9;3tLzfC^{j9x`arqT0W%WPFlH=#~)E$Or{YZB&+m8{HQ@DBY~};o~M#0|W=?C6vD(KTB`1qHfcU!Jb0CQ-e;Oc*GxzkovvZny>z`E5^gpR(m_aQ=kSTgW-UziC z^G@eoh+|$ZEHDaduwB{r%O*yjOJfn;uT(sqr7jtY88aNwAyltDo^@B1R8Zj-*`dFS zJ%jAGsv{iLp3#o#GR&Zs9errYZ#9LSws4CY^Owh6iB}z6Szr{_V7s#NXL@9iuj0?cP}MYp?it#a!_zZvxi#jRkeH4A$F#>mBIfF~9S`jkxm#*4u#V9jsk7 zYE#~Ha8PjgS2&)3;(U6=<^f9-foD8Xip6v7@zna1)1TjLqkbB!^!hz_JO8VY_xe-F zm%j)0ZSHo8kFexT!eIUDH`{p3+rs?Q4`yyGFi~4zu(F&Bbo?TB+K3X*+vC57!lcke z!L(MO@&-Tcb)xeddwKA=cJx8R7hcQA_CoCkXY%d`XKp^QP_jM!p&dLsbJL249s%>J z-R{Ikn14F$rWN%Ow1);S%9-qc>bw~n(L9?N(dT!!DrEC_IHJ)$tF>=$fqv-a1 z2at@;Xn`IYOTyqxfYCam>8)!m_z)jhk8A8GtZ@OQ?nD>J0T=ne@7RD=Wjlb2G|C_L zbj?Q8H(rxB!h$NOxOg;pRBC-DXJ*QeS1+gcf1N_+9$q2nkH{e>j>zFec6bF{;9|kw b*k;W`Enwd2v^&wNryC25!dmXvDct`7`&AL2 diff --git a/ltspice/current source/lm358.cir b/ltspice/current source/lm358.cir new file mode 100644 index 0000000..61330b7 --- /dev/null +++ b/ltspice/current source/lm358.cir @@ -0,0 +1,45 @@ +* LM358 OPERATIONAL AMPLIFIER "MACROMODEL" SUBCIRCUIT +* CREATED USING PARTS RELEASE 4.01 ON 09/08/89 AT 10:54 +* (REV N/A) SUPPLY VOLTAGE: +/-5V +* CONNECTIONS: NON-INVERTING INPUT +* | INVERTING INPUT +* | | POSITIVE POWER SUPPLY +* | | | NEGATIVE POWER SUPPLY +* | | | | OUTPUT +* | | | | | +.SUBCKT LM358 1 2 3 4 5 +* + C1 11 12 5.544E-12 + C2 6 7 20.00E-12 + DC 5 53 DX + DE 54 5 DX + DLP 90 91 DX + DLN 92 90 DX + DP 4 3 DX + EGND 99 0 POLY(2) (3,0) (4,0) 0 .5 .5 + FB 7 99 POLY(5) VB VC VE VLP VLN 0 15.91E6 -20E6 20E6 20E6 -20E6 + GA 6 0 11 12 125.7E-6 + GCM 0 6 10 99 7.067E-9 + IEE 3 10 DC 10.04E-6 + HLIM 90 0 VLIM 1K + Q1 11 2 13 QX + Q2 12 1 14 QX + R2 6 9 100.0E3 + RC1 4 11 7.957E3 + RC2 4 12 7.957E3 + RE1 13 10 2.773E3 + RE2 14 10 2.773E3 + REE 10 99 19.92E6 + RO1 8 5 50 + RO2 7 99 50 + RP 3 4 30.31E3 + VB 9 0 DC 0 + VC 3 53 DC 2.100 + VE 54 4 DC .6 + VLIM 7 8 DC 0 + VLP 91 0 DC 40 + VLN 0 92 DC 40 +.MODEL DX D(IS=800.0E-18) +.MODEL QX PNP(IS=800.0E-18 BF=250) +.ENDS + \ No newline at end of file From 40e2698fdae9858e14b3d1e39d548aa834c21707 Mon Sep 17 00:00:00 2001 From: elamre Date: Tue, 25 Apr 2017 17:03:18 +0200 Subject: [PATCH 03/15] Almost finished first version pcb --- pcbs/CurrentSource/CurrentSource.PrjPcb | 24 ++++++++++++++++++++++-- pcbs/CurrentSource/currentsource.PcbDoc | Bin 906240 -> 951296 bytes 2 files changed, 22 insertions(+), 2 deletions(-) diff --git a/pcbs/CurrentSource/CurrentSource.PrjPcb b/pcbs/CurrentSource/CurrentSource.PrjPcb index ad9eae7..7fb7821 100644 --- a/pcbs/CurrentSource/CurrentSource.PrjPcb +++ b/pcbs/CurrentSource/CurrentSource.PrjPcb @@ -45,6 +45,23 @@ AnnotateStartValue=1 AnnotationIndexControlEnabled=0 AnnotateSuffix= AnnotateScope=All +AnnotateOrder=0 +DoLibraryUpdate=1 +DoDatabaseUpdate=1 +ClassGenCCAutoEnabled=1 +ClassGenCCAutoRoomEnabled=0 +ClassGenNCAutoScope=None +DItemRevisionGUID= +GenerateClassCluster=0 +DocumentUniqueId=REVTPSOY + +[Document2] +DocumentPath=currentsource.PcbDoc +AnnotationEnabled=1 +AnnotateStartValue=1 +AnnotationIndexControlEnabled=0 +AnnotateSuffix= +AnnotateScope=All AnnotateOrder=-1 DoLibraryUpdate=1 DoDatabaseUpdate=1 @@ -53,7 +70,7 @@ ClassGenCCAutoRoomEnabled=1 ClassGenNCAutoScope=None DItemRevisionGUID= GenerateClassCluster=0 -DocumentUniqueId=REVTPSOY +DocumentUniqueId=ARMYHOKD [Configuration1] Name=Default Configuration @@ -94,7 +111,7 @@ PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate [OutputGroup3] Name=Documentation Outputs Description= -TargetPrinter=Microsoft Print to PDF +TargetPrinter=Virtual Printer PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1 OutputType1=Assembler Source Print OutputName1=Assembler Source Prints @@ -873,3 +890,6 @@ ComparisonOptions3=Kind=Rule|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|Us ComparisonOptions4=Kind=Differential Pair|MinPercent=50|MinMatch=1|ShowMatch=0|Confirm=0|UseName=0|InclAllRules=0 ComparisonOptions5=Kind=Code Memory|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0 +[SmartPDF] +PageOptions=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=Letter|PaperIndex=1 + diff --git a/pcbs/CurrentSource/currentsource.PcbDoc b/pcbs/CurrentSource/currentsource.PcbDoc index 0d2e344825769f030b5870e90579295314d16bc6..ab5f98194007b5a0e96920cb0582bd5cf22daca9 100644 GIT binary patch delta 88356 zcmeEPcVHCN)}Kj2lG#llKteAYiXf1%eK*wIG(Zp{9TZuVCN)$M(T(^XSP?}TpN#;b zAeJD?+F9%k3@CyM7{r1F3@8=^@||<;J(JxfK_0$8zvyN5ckgNU+%tD>+u4%!o|0R- zE$@<1Pf-*V68)tqN*sKMyW8)muhdbL8z5CdDoIq7-#6WKy?Revvbt`w7I$@A-O4Lx zXI%39E;SxGdv9KtFh#9f^yO&E6W7hh>#S5)3`k5~u{_?VI|pg)^pb&E!sb7crp4E- zoNxt^`aYTp*UhudbLynh$6adL2h%a@cv_+wx1r$Xll7OLtgk|fgA@-b0a6`EiJ_DA zlV*IPKCfT%ZBpy@+Y=P!QAm$LI#X3u^*CJDL)rl82}sXDdQyK1NVT=_5lKGOcLNN* z18^&(Fr;meUWfDsq&FeG1?g=_TOhp)={-pAL;3&`iueewJ0R_XR1Rq;q>mx}4(V4& zyCHoFX%D1BkUoR-IixQj?Sr&GLDA=Ymz1G?qTl*mQri(fLEaHaM5qJ$)FggnPx{ad@QdFk4Ta0k-zT-CZ2B+?$_M_C zG)`aMM=R6=kJcz4RWw z1Q5DLCXIEBPhB*)AaBs%{GPcp$ITwUXuzOB{ry9;M}mhP z4v*7j%|>}vD;JFFX}8&P>^W9FDmHkAJP{^ z_Ovg;kNpcqwYB!V+ySxVu#LIgO8>(LyUpowdL3@3$5Q=q46zLN4;WSeOjbjH4Fu#k zJl5I;G?Kz1B|xm|BfDs8S7vMD>x$lpd!^9qY;Cq0n|ZveHap(Pv>eC&D>U1InF8!m ztxacO=5e!*#;|2;tKZ-)D1 zfPYlM;IWqu*6UX!XT;+N+n32r@SpSqhlJ?~PUDjcKdm-MJVt@n_>_a6KwMLjnP8JJ zLl0CyJy4I3OrJZ#c8Pkf94LXWvUD+Tl&GIx9Jp-u>(k4jHLfQ<;ApfMnJ~sorRzl%1 zNXH|HPQd5iBJiK^`J|$ssnnY3mrc`LddVePXMOgi|KI2$rm(61X`{b#sg|#oj?05dHTUV+Mxfx$@q@^r~dBR7j80k?e@O^@7n)aD2JN&)6!Mh zfxY$r5&OT2*b|o+kA;Q${r&&H^ZlPito~Jh!?i8-@FiOBQ1{_l9aXQlM0;iBNEnof z(bO*0=fhEzK6|9LSiNe+JX0|7H5ghw-dSHbN}JMLlrq&WW10((PaJ9$>0`%e83}d= z45_bQq}`$)AFtW;J~wDDuDn)jtT!I5U8hdc?;fp9j7O4_qa{h`?aQ??)$DQMC=7?p z9{sOz+NGf#V_;~gUwf@KsjdcUIUZMN>UgbhoC=&1wPh;I#r$KniK#9pONN29er$$z zRp`?x+S_WX!%Jfs7+vSMsG$GKfYvx zX`iWB>(I4xG^K*61eH*o3vX+_AQIGiujec zXmi!8DL}?U>vv7iPu`+UsT&<2`s`b^#ck$6Im8hCSr-i-3Da4t7=VkZt;-{(w$-^d zF=3TcTbCVcGJI6^)E1|~oa=yzEJzTOTWXKtqi}Kyc{sUk0E2dzvJDwJXmG*6f}uV8 z49wSmIFfW%FORK@$J@p2?ULi_;&FFzdb>Dt78MN|Hn`uw{DP4%P_#gwcZ+uW%DdsdWQPWpiTmCJ(4lBSA3dyVz7^JlM4az* zBPZ*tUrM#;^AJuv^RlV>rGev>Xag~Ywed5`J%H@rR z>*^uRnkup~ckWYsO|Q_0f1!0+QDT}~XD`S~)UUf=n_5>`vrCm$9lsxpUPwl#_8HtS zzo!jaOtC5V>FU#|E%iV@&8L^H(KP+k3tE9*@qqTTdVh^Y!H2Zt>Z375p?wc)d8+zg zOty~Mdc``el|J@GElEGVO53Ft#}I|OuGTa)zL(N5rU0Guj@m6Ychx$$O?$=W1~+Jl z`uC4%d(=y6C`!`*vtCQpd#~4atJlSphk&(-s$Le8t;cQDD%D}JxnrKxevNaRab9!< zIV|+bQ`&Bm8tcap_%T7%S3Rh;OM?DNu_~RTMSZOHi_XyW18cQ5`bTRtukL*bI=(qE z#AK4 z74|>c3rKYNeMP(xx`` z&Ge~?dxq*NHz`Ik!*E4U`%~+Yc@Y{e`#)IJg}+Z`ex)?O&#exqcLJ~aIIqc;e9QJP zl)fjvR&@W>ruKF6aUowHx7^&-De5i%y%Mh~R!WG%EBwuZG~6?mmOpyp3hUjEn40Ro z9FuS5*INIy*Dl|7(tP>+{(M1mTYL(*bi#H282 zJ`ZLcs@kvaY4Ca+L{U7XggScN-?T~gAVxE8B!NWS&*_Jb%kVwWP@af)CQ6hk_(a>k_VC(QVyhUkh(+a z0SQ%H2G`z@e31N*av|kG%7;_{X%M77korRES4ZijTmm2ZLmB|-Qb+?K^@3Cc2?Y*@ zYa`fJ7^+|TySDUV%(@n`7C^cV(n3hrLs|rBDI|1H5UwTq>EE^NcnD}c`wwkK+TKBT z7PNR^X1|-J9QR!QMB`)V%og{BP3`p~e`qG6J6S889yqDBuaCDRws=()-X<7mXa3Np z)GQe}y2ck@k)_uz6}6|vfxuJ}io~^>N+#$nPifcJtdOemeXYf!1}Z43N6IR@KBZWj zwZa;-*BjR{6`Vur4RIz@5;9cosrz>fsK=yd$D7)V9_RLp_1Ef{rld_ynYPsa?cPfs zYL&h&Vco4+&}N7p1E`5SW$Uo}s~Uc0(eKAK>fQM<=3j_AH$s#7Z^ zG(;P{xS1&_Rdla+&4tC>ESu<|x^_0z+Ldy@Xi8dc`nH4s$`p`Z z^z7mDq18V`Vr%__=S6&fs;PY+S=%g>Y7p$v5x1aB`;T`nUeHD z^-PJ0XvyC&w1qAQpE@aGwtyA=8xCwpP?4H+{i%4hW$S<*t`9CRTzfk0m9N_mc%@~% zd*c(*Uy&|n@jQS)mMNpp#X{9x(17JXKNN}E>;2N9Q>aA=-s9M=^|3<{Bb5)F>3?e* zvi;Ez8jOg~Pf;7aM{_XEch4^6rq>kX`FgJCZJioVH!1RzbWHgho=@YF8a$ApmQQV{ zpUDKjF0Cau2td?7vo|CC9^aDh< zB^Bw~>o+tsW$NXLrgrC0qnD+^O^cp|2Mtu=OJ7%`3W@rG4$v&M41(a!ZBL%6__XbL zvfwaAuj&MM=h#=$aOfxa{;q$hk3%MWbX8Ra2G==1KIk=?>tCjWs?0CGSIW02`trJe z+jX>0QoDV1KE%*#w3Z`e|Dt2(!-0KAYyFuFQ)1G%mVcfX2Xr8;1kEb$ka@1|k8Z5J zO(1%)!hb^?8DL_dk4F6+Q(M!;5taTMYO?1E8`y)L&eKa4>aW?(8Sr`uG*)UNHFMFV zqe{waOV7n(aX`2io&JjGAlxs~Tcw)vYmf8QELBn`Wd2x(9P zIcnNN>rrY%iOf-PI2Bz&Hi0yx0DUn$XaU+7Qv%ka1!#4Q1rha$E|Ho^8e5PdI?T&Y z?or-sds@M3k2mU(39_htr|p=f)>4FAk|dUk1;SMy*T>YhUIVL19r0s*6|en%N*5I^ z>jRDO+=mU-H{#4HUi*23ew|7_dOy`D0g4D7EZP4_bK-$(Ll{RoG#ae6xMBDk*9x zK^~xpD3Ljaw4DWD`)7;(Qi6k%)ahlX@Tya$1eBS5G_^XjL1jbA+;)32k$K{=0}6n% zGf}22tfs`w>kE!nE0RE!R*%3*54w+&SNw@G-_4|X%PHCuLg)o|-c$tS;U6+F6AJ|^j`T6p5?`PX@=Hn+P{R1N zn%&ynlxPO&l#8UK1=XS!xK?X1$xO6`1RA`k2ImRYYJjw4e=9OOf)vaPKc7L${oPF+ z(U_9;4G8b=29-mTF$3+`*#k1*16NE34yZm@dUmDINgx-kCAku#p(F;mP%het8TBy3 zH!&VF@JbG=hm|gwlZZwenbZK7iLzIrNh~YMMy>@?)RRK77KWU95>OL?JkdnhUZfEk z6IeTTK8^m2QuG?dnm3#IzFUSKXVqr-|SZK8Qd zBp}LNe%dL>aGr&gKr%x|JtV_iAVXcc+6zKb0?ZV=XbCnpT0)I2RG@tjxtI$v#dQHm z7+A;*;oE^!pnWJ6Lzh&bH8mTJvCF(vH_Skzt5u*mr~+MpD$JY_Z67-Zy^2<6h?yha zh3%G)pt}&Rc!OrFyu{R?UhEoO<|WXXVCFY(c2;Gf9+io!c~B@aB`}uwHuX2P!{)Ad zZ#Fgem;EueARa7huAv*E{s73psDbrH%IH1Jl(}*M!~@0`W?}@czEo0K_ROnh!x+U3 zq#(f<+9(*KSO}IGKq+J(3kt3^Rg4`?S`H3@23>xs=y^&9kHAbU z)S+5!$w16R^;H97s)4Lj4c1s)4Ri-6KqCzWd`H5MHAZ9 zMdMrcM=m1|C1DV$rmsEhI@<5eG51m2PWGxcpn;8!FyBk6-pht-ga0-zLWJCMYJRdq-r zT*dQ5ET*DdF1mhZT4WIlhb-c%p$NGoiGJ)d2=jI~?pF>zKS6zA(*e9zXWE;MRb^py z=Bh=5DRW?xQPG(XE&WS@LXjzfidREsqwjwtMNyejt11iin}%R71pOMe72duO1~4BS z0$#&g9YY9j^|N!aM}WW*ogxN1b{w;YK?Zhon1NjjQD6^*;*r7-M4c7pVrPZXE-VqC zniq}0I|q#r($Olo&oKjTM`eT&)95 zK^<802puxlFc6th2Rak(^-R5TI7I8=95)st(7H;3DhlS${n0hB=^#bN)sSj6rYnnjPMe$8mS13NyoDyi;OXux>;#VYMy!!^1|g4Oq; z*q1{kG?+>MZ5tCd*Qmz3p2J=6#mC>4J-a}@u+kvN$1+-3!_ z?nN6mDrJxKP?`1IEXaYodcMmFRI$6)|2(`E9{e4d#hg-ysDI5h;TkY&ZDxrX51J+R z4*RQkElC(rt69|pm7v_SQD43#{hU2lH49VXu;a(7Nwq2wM`F;d@GDht>SKl~_jw{Y zp#P0%_iC1+y{BR!zuw}w^btc|0(Pr)sWAS#i28Ig8HnI)FCu_kg0gWCP4 zo&P=$4s37Kf!7*1f=0|&*F^4rsCZo-Tvn@+Xbz+-zUy11to}Xc!NHWl%2U6bCks=e zqtlw5k6GL#=iq?&hx1AA2cN4Efg^}_75w2X7!JYg2d;R#{Jj!O>my3# zCF9ix0%jGjf6u{`Y#wqL&!5O{sJbR>7AvbwNpRS@7ZeHyprO^2CJm z;Rs67R()Tw{m6N;FeTS0Mu|S|5PZeN_mg%C{|V!FB;fS)yemyJ zV>h^uUkTgbcj)tO*GiMqr#_+#n7>lhP2)}NlF}9rp~W@JMAJnH_-zb*+&YsL4o|{g zL%sBBQ_m!1_}4>6R%9l$s%EYl59b=hT;=`=2bA>(y}Iw}ND=yz<4k!q^WHY!)FG+t zj@Omt4~^1mQk-#?Vh3A|!I%h3Lt?K!zF(fB&SA*Q6ca!(-})jWL3PFXV-0%X>e>vN zun-JtduP0w)gd>=ApNfKwQ-HP-qfnD(ECo0{mLzIhsE*bST{B~t2Whcte6CvOM0DD zN*Z*I(Jb1a={cD9PByio6NXh)-WM-Y`_}CjTjkg9sEmOrLI{CvK6;iDBG1r_!dt1qin+LV2Z+Vt_``K}T?{JCh>xv8madhK_ zJ04SBa3;rCCEVKTEXmbv!mfeunOp@YeXQ3#rWF6!DaJp#Z(4NavTL)<8EKg~3kt2J z2ZE+fv5{gqAFEP@3~V-B6|d?3$vlUgj^j)%>x$E^*ldS0PsB#8-r}a{sztfx;v{Ub zvoyDE7FkU(p^G}yUtL$|NE+#7SDUhG?i~)zFttevAN*QLYtp^O+No#Nu7=)$qGGT+ z(kRj0-{0np^6uvcVk<4eBJM2F)pdV#x~Xkaar+%gxyf6jUGe8&zP|*R7i@`BC(jvO zV?ZXHgZYk|prfu_6Q>rvx*$fg-g8cEZFSRPxIe{I2J77M7d1w)Zkt;h@AhjzBi0pG zk7G0nMJeLHREp)O z`#-Hvnhc*@qeoKCVbGSTrndSci=mtHm2Fq7j>Rz!(T^;QHmbH;7(O z`>*`9W;fM6w^bJ>RW_`nmJG~{HE7?WXoIR-w`>|5t!p&_>at+MKy~Dsg)!dH%WtTS z6^1gcQpK`7j;L#Up42~w%|A>uwU%Fn0`+>2t0TK-{k5PeFH;VL;CUnd=xe|IhR_Ru za{WUJ^7ZNKaVeKwSX_&!MDBo1eNB(v!(g{C;R_wp169W zX^tPV2*2_4f_C+H7yI*`7=O>__R0POPi)^3S6=L2(r)fsTV_o3zj~zL;q+%`A$>{f z8z}L^%NKred$)sy6a0A%Ub?EPfXV}%Ul@=4MWgSm#xDo+ zzTNZX^>h55zE{86@1ybliO;<{;=>?$Kso*?F(@A`Bpm2hhZK|~T|>CU*PXEM^D%%ff(`ZrN@R_LcQqwn4{K{1gC;G=?&7}h6n44-`cJl5y{s)%a+Ie6u;i6|(&GGx* z`elqKa}w16vH4JLY+JiQR83#ZYk?DtzCjL?aQV1vQB2A5&C}_6)%L4v!r}3guETt$ zC!7r#`1fn#Tr4Z~4Ix>+s_&`J;o?Dr%i=#0KFG@_tn3X*r!KM!hyh zLcmakaG;@1SP2}OkBWS<+&A@Y!X=WwZ01J7pg_>)-%HpqV9{d1UlkM<`UYJq7-S6s z!8wG(hucz(xV-4=UW7}e03~fWVMQt^*)xeS8DM_9-M-sr5-!qSo9{m~(6M*+gY(dE z!f>?)+peOFlFa8xVa4vJ2v_{MnsCYKFkx$-6@)AM?;%{S-9}jH@iXDHLrVy!bxEQt zmzOMTNtpR9YpjG>ez1IKZ^~c;toQUKTsiXtaebjbUD@Sao>uf1lrL})W&^ETdLV{%5LtuDeZ7f*YbXg6_5cfD53vF(dk_tXaB*M4M|Vz(#Fo*|6Ha>|Pn268 zCaf&(F7Uoi0tW+elu@y<8D&)F{vT@h(A+81gHWj?|342KUy8%j!&_xCtMbi{(KjCc_XAXg(}T0Ar&@`^Hdt zEH*sydw1%{VlmT~hPOgFw10F)L=BFvA^}E?5WlDw7pJbA@87I$v;J%8bpO^DCX9Xi zE>WZRN~Ze{RWvTlzNZ>TcqH(9AW9x#jK7i>1_+n+c$^4gv9D!BdMdkPJi*nZ|0`GjCuu|q7AH+6O>dhmID}o*A*;aXMGU3wlHsV>g zesnZGbOSvsnLh15GYO+WlDFvU*?xT37VIBS4_jM(Dlgf$oyz%XAMWvXP%!XtKoan9 zLGgS|%q5W|DFljvcoEWL+tpruqJ`|g=1=;df2@cJxKE=LPgj>DB^ z!8&5RQg{Oql+JjETv*oPp<=8FV`a$=GZ9y`+)m||;{n3T!2N`k7y1f3d*@{Gz`a8$ zI4w_pPq^ahe8P$^@fwo*TrYux>z|@FFO?Y;-QO2M!VL4jBCN2$;p2tixu4S2w}fsZ z7+@VGT;f_N%5%+R5M!U|GEsq~2LzwQ!3vpC)PFS9IMC%qB8bI?fX>TEP%LJ8<+(pe z4&%zxN#xneHe)DXX`U{cruziMa1JGTE@fCczC{F9%Ob+of}MoRf4@Lmqg!_5tF!&L1s~mVWwT>MSTt$QZ2yUzFWPpUPSHvj+Pvh@ zT*77B10u>dE)mx?{Y3t!57V{ea}Qm8yIv62r@GKpd1p6W1N%GDHT?4N>T|@_A{CT8;U>%mg!lLf``){r1eHEsAn0GaUnr2};b)&G%=|@9mlHPRfBxNU zy8jIWR{tpkNDIR|5`#v{rTTN5t6#3{;ONsGoZ2Es>AQ|v&D zh#=t%3Zk2zR6n`5EeRkzwEjIW`Z~_?NhplLWx+QTQdIh=pKhx%i330XTik|^TuJ4OEq6U543oEQEUvh6 z9@Uud`zU-O=VXujw^6xe`-i)gLr|0vEFf@u;A0G>sH6wk#e*I4yIHDDUeL251iuw!Cz5I^F+iuU{_+Zu@~OR@Tid z_8(l9(5h4m{cVQX`&}}P461l*3h`Uw4iSAt)ndZRu3ZAJSVcJ8 zX8TP4*2lv?JD#b===qz2FH=UvmY0a3lbpPj!2?_2QHHU2Sr!t-zBkbuCd3TlEP zYiSf#+BOsSdFAI~gfqRPxUa+S6HdF$Imf^4+tx3iHcj>qOq+l4)O+Xn$6fp4zlWs@ zdAK}*E+6>=4Pt5eg6{tx6DcSvZ(Sn@E@~};aLp3}_vkI6_Ni_(m9|WiQT=`)VV)N# z>BFM1rNiZfpO44+lp%3OK}9YKsQ=}OW>~(aa$7dyy@TrgegyFK z$?w?^pZGd^wF?v8Q=oEdS&K37R zF8N?VQd1IOED7!CM7TsQ8HN9H5mw}qQt1adqFgRHak;T1CHajdE0q7AfnrJPjX}BV zwe-1k|I;E^a;Ry?-x`xZ!Y{)bSbXu^s5P+4Il=&51N&u$pyxHP&SRns@}0SCuD|6g ztCjE-6Z~%s55E20pt*h(DvCHS<@c((uLbA&zsb9@YAa-1d*#%epCu>{Vx

HjTx`-0=O46xFfVV!KMr1@o$?obZ&jO+`0h4jKv~{S z!ew7rtMPvZxSsaSp6~x@pzF$%mNamo#l(nVH7_pmu-jM-uIDmASg!XPGZ(oYY|LDE zsg7q(yi~^%7rEffQ!isdnkO#2ApL1j&fR!Lnq9;T(sJ%ci-i#`=EX$izEo-g1NX0@ zCQJLW4)rUQS-*%jf9Ldk|E|E{VQCv@`LkCw9=$;<_m%~ZUsX*2(OSBDc$9#$+xrq` z1(j-L6)a(7d@Rcm@(Ig>5UqryngDa4j1Ll@*i!N*xLiTH6m==uwW+QxWK+`SpL?D=5r9Qy#B_W zh_9P_%W!LDv4839*Y+DOR@O_4g-4V|V@dwHaU(#Q5G=39Ww(4Gv>* z(d(;(2TU(hIpd0l_Yki9&l*v__h-V&vrmW$<(Ww#_X|qDW(KDFpEJ0Pe2ZEVfovls z@Slqam&hiv^mGztmsbwSC(KQf_6M!)D2yxW&X1xmefDOS7bFYAAKgJ2tWb$xPM8g1 z%t6KU9CU_28Re{ygO&~Apk{+B8U#hubB&n6sIe5t9$|&-F*b-X-=@m_zl$csO$fLf zED2u_=6*qW|8>zsLF^cSAkbXQc*6@~SG-V|(IL^U_|>_+w?%zJu8U0`H{UGNo;&@j5}p7i;&zjI};Y7Cwv3UWkzzbF*kXQY$Z}(~iw)*y1{=)J3~7LG%Qa$s!J0w_1-_j? zm^Qv}{?7!yKD+2SZ#=jAhr~dH6^MeR+^DSI{TR4G#dn zxc~XNEfuf}%g_MaLX$2o`sTfPkxf7tUC!ICY%p)TvccTMY_QxH27`o!ym1_0i+S6X zE#_@kDZtpWV}p6yRT>aZ5EB*lXz3^O#gI+zHF9~_8We3}YzlJF@SY$C4I3ca+}IPu zm`DZ}jM{mms`#&Y{!!QWytU;e^Zg$zJ5AU%D^8gO`5$cZQvPGv4G04Z?WAJ+^OmTw zl`6MPjjdFb`*RILnT8@s7;Ti4i4mD|2SV>?wUF}72A-}amPD2Pbe zWB+=6{^)z>`A5szVl7FbtSuTbT6zF$ngqtM2k?rRJ>VMn4#*Pi&owER%DAR%410o& zWe;#|cvG5ci$<_AHc%)NhNHniB6NZO4Hxl7vfOfKWqdW1Njl~uL0sF%rH9Z6_)EKz zdO4-y{lVha+%}y000ReQhH`0=Ah_n=qSuPSm0LSfdDtaRE`&ez5-x3W9nqI8EF@ep zcPimheb77#OtfNz=t)^=<%>Cn5p@4s)?QrA1oVNb=21d@Uo{}iN|k5o5oV(p8 z38N=)iofE{3I3iNN@$9IOOvC7U->1NtmMBx*MH=dPiH@O*+hTpfg-}dQ_}xZS``V$ ze=RAjlftn!8HVapvk8yPg<2z!A9aSDrc?ADS_FDuw)?2 z2dX-LOHWD`V(fJD`jOoEW*Lg4kCYL{y<#xBBFKuL%N7|in1wJyq!6XzbkvmF$BPhb zh{P;|=?TjK4JsUPhmH!jazMsl%Y?O5&JoR+11@m?V^nSgz>m?Sy97NSUh>>dm>*I;S#BI`k^J}+70-XEfS-b7K*Z4*UeQRa zH;QT!i@8~fa<33Imu+1b%R+MJ)`OocQdF@dmU|jjhb5RUs3to>B zSbpCJggFk<-rGT#4Pwk471MJ^R<)e;rZ;LV<*`Rt zA$yPwN()Mtmd$|G=m?h+1_QWBIH-(~j|@7aO=VDWn};!IV|qR1^Gza7xRV1c+h6p0 z##*23^$5rGdMt!{y;#gLxYvu6VRT|_$aC32>h)NNB6~p=!VHl@j9yF%;a-nrFlHG{ zPZ)c>g@e^O{H*yr2rgOpU47+VcsjlEOEL+;6>G$|t-hC5tg#vR)F!TI12!Hua>biY zZ9={xSHyXZzpT{~qUVR1ui0ws_2|#?+gHhTFyyrY%`CqSb3>f@i*4U#%4K zDxO*ug?%MK!n}_g_KqjaLQBqEMwpc<_xC5vMiFlF#D=N_s03CD^(}?xKVt6xE95R# z%%=qYoi<`fVs2yW_*cYq zTgE`qO>YqvF#^xuwDuoU2Jg*QbU!qkngf+$8(|ae6(?D!O|cClF&IHSaOD_anH(dm zlw*jEvIOM@Gt~lX#X*R*h+RVA<2QV)S{~0a#sz%*hQ|$j{Dx0e%kw{cY8uZ6QU7m@ zOymI~Cg1}`#=wM6K(PWo0mbusJ^{r86FvdO0~0<0#nbzzx`^{X##2lRFrIRJ0*dGN zXh6hMl8$IaocZM=TRd+p2~H8Q!KbQ6j38`G<< zL0u>KjR~q$U`$Y@0mcM%dx_A;r>cX}k?Lv$D$fW<{>3l?5>atmGv(VgPKcT1tV&nw?b0YkFa6kg;gV2J@mN8_a8l zY_MEY3@U6fuUewTpr02d!^^gb-4R~3eBjZja^Je8q5^zMa>F#j#u>mHhY>bbO}B^* zDxWYgsQ7O63I<-ad{G>a;u>@S7U>@SCi#N#IwPCN3g-zsp0&O4d7KtcB!#yDP7Lx+$6>= zC!5UZH92N@(UN0E8b~pNPgz*T3mU7I*Tc?b1AEfE8c(NW#@3Spz8+PjOe z(NQVi3ODmQ;INN`GM_UVbHi*B>Y?CQFBR=oPofTn}fjr!o_<;wq zsIxf*olPW$eBwcwLD^eT2DM4V^67;8XGJZaB92@>eW72JOUv{63vWr6TW=aln46@+ zaW!G1$u6Hii=O}7rj;A+z&43qJ{^xn&|0lnK1B>9>|){pY%~D~#d2;UR?1DtM#&}) zDr9x!@+sD;AxJ-%J_4pFCnc@b&8jLCH|Uz8@D5<|CM~xMBqu-T(KV4WGS{HZBQ+eqZM$ zgo_%(HvaR;uTOjQ&ZzRzARZjXnxX)>(m`UlT9$ksr7#wk-v5RummVUFO}D(_qH;kO|X#P{)Z)e}Kc%uJ>dW{fM`}4On!*fg|RDKInDVo_{ zVEz*3gFOfvU&6d!odYUkd+L^Ja8`-ueM3ABQj-=$Jsj3lG5|L4`p zQe*;btY%7~yxPf1dAXg9Vr*1`S5vVP__Me0$Fn1){{rfmcyb%$44#>U8;b+vJaZ{M zIh7cAW>YczO2Rz5P4f+m!j%t-Z#^)*<=-u+oaF@$)+H?U!Snx3F@$1^l)K}Ip!9(x znvS1^C&;t=a^|E+Y*fG|4zRGo()44Z>E!ghqWBPDQ30I)jTYy;xJH%FZ;E2DtXfT& z1qS|FL6{Z#4v2$gMg=x5rEFKO6wm%8m-D(^;7{-(vibpLaAS#f9` z5yWDnyZyR6dT1>%)0ZATOy#k-sJm4t>^hRl%MN^3>=zXdQjIAC!&J`Exy{&RVcDjJ zOJ$n}PCO)HBo@mUGUz2{c^q>}YEYcl=BVU_BaT{L6ELD$9@jRaUY_sfyTYh|ylYq? z-Bs8rz4YlZqx-!Cy8hsluM(8W&|hQ!j2SapcOkst&>e5*1Vy0nx7KFdMA+zl9~7r% zjPJ-_{fLMg@g;htY}xaIpTC5E8yrMJBTy$Ua6)extm+3!hrH9&=uQy>4R^ObWCG0H zF$*=iYpK-uDxNfo`+FZ6JrgR03fHDDrAo=}8G2iB{zvi4Z!qxYQw+AeF_Mhn{+zL~ z`Sjc6RBm*~4aET@?yh~I*`gA>`LtuPd`95?$K53><;|xUoQ6z5&fPH!HM(o5l)LkQ zG>ZFsHk$Pj4#sXi4Hx&OG2vX&f^HpS!a1rwVPmp#PZb$uOgJYV7Dn>s)0FLmjU}G> zWl`lp-%YYN<1-xpUO)tfg3;p$8wT7nNC@EB&;)Vzi+9fg_xY%tHzItp+?WkXemPkI z|4Ritn<+yBA{H2mTHj6rdFvz=bF=VP3pb0g6(gI4w`#aq#8wXI=XT+}9>%;E#0&S? zgAh~yU-luq%_G9)gwZg$>{cX$%9vovpflQ31|_$77=xB)Rq~l)e20jiA>unkS1AFR z!CxBUhY(>F!s|l(#UozRiNS^pNl#d}{iN+}>v#GKUAeX_TdpH7%jvTEvvR$5Z3)wG~3)>jKkt%GNepuKZ zfkR1mnV!91m5uancboPbdROif`dRO)ros-^8-YWY++!+J+Z9|$YsFcudggv}L%mWr zrRl!i=B<$p#}4I&W6TZ3Tat{2=hxiO+;H6REQjeCqrA|&_nFqJ?L0ZT4!hm&$|@+p z*mD zZ-Q6;EQWM5q<=yBH>4$y=7*ZbnP;l5L-6@)NZ&yE7SeZ+4nz7L(hrb+g!B`nBan_l z`WaHC{%X9rLyME}@h?cHAf1ME29g4=MZ#a?4%r^O&`NYku(@nDJ?#NgdYs*=+dL_2 zSGGyLxEBbY_cM(It=W6U>_Fo3S(j2Q`rZ@o&xplHAF@7Zdi27)+9l5B(u=yJHilax z(wdnHEfW~lNX**9&AL%}EGBElJ%V?NCqF+g*Jib4IlYC2SCo%Hn3A64@OqsNXO8Y)XYQQta?-o2E*+F#Fd*BWpFOIl@Y6QERpZopdeLL% zgl=Ba?Q8d$m=sP55yANN&!3o+(frp*JQ zKVkex7drcF^nJ6(2+X$-W4>jWo-xV`Wvsi4Kmwx>+5Iu^oLv_MYzi!SOCN+h<<4^zJuC=v&kBXOwm3Dc7o7Nc2lcDKH1 zJ@jMQ`^|}>Gb`N;W}JS4)Ej0<%xX(Jh||E4IF$Lc>7@$^PJDdCJEA(&$_LHQP*j3X z%Ua$=wuIks(v`7q+BR}Y(da?svPc{nyXit*B0IxI`j=YDc2LhPP~P}Q8X7XTelm}+ z(IOEzwEVdXRbSmA`?QpD)Xz=9`nf5jKBFljaA;fTA2!8DTFP^}<#to6vsxijd_~7- zh4;nREsR!(z@e&_OfRc#atd-Cew#lpE8ml2g|S4AI}64|)+~R4-D>mXyI};8dAqq$ zqT6P5IqmwaA5C52+;+VHnDx z&S*cZ=@YH<)sy1OpWGG_8#YLKv?2Rl(-Rlg77!0lbx3I(=XL7A&MBY3Q@tePGir~t zUrp34l%8x)F%pX{IdBRJdQ_%I9P0Wmbo3V#m=F}Ph8%-;JAeX1@u#+E!z4Bgk@Wx6 zkh49qUA#rhFyz^u(S}KE7$WKap&<|+ksj#@uHJBpLc%a)Sw9MySZo*~=>dnbcf%;6 zXQ9{cad`_|Sx#G?J$+i)7|G=IEy(LXWZ0!W9d5V7 z=5^Tg`VX738o6B7>DNq?G-9-4_u6z@*OZT8s9y5sGh|}f$?>AckhmyIeCgX){5_Qi zMyTT9(?{&pFfRF}n4*p88MC~wq%SSMNj#jSJi_rQz2f!Da#GSmTlbpoIschYoEOIF z>&#u^b39Ic+-K&a;A^GduVPTk6E4O)!GaY7j7}vMzf>N&@=F}<{MYjz987`z~b0 zm0+c@MzPU6@MO#bNv7w4CRc(n8_o2LY2dm0tN&);85;-bdhf?g`+ITA^VpLyk2N_G zVlmREELeGIN``tsufNfBN2t=D5(i=FC~(>wHfxqG$K!{a(CN?ey6ny@XMTar>G1dq z+%Vr7x6Iry*`DL|xE)rQOy;FzwQ^_Y&VZ`@fW}fjkN%}8@Jz+m1E1v&nmR~^9tRLTz`SbgOlcrI`*8L9J{`{x4Cns z&117?d#p}dY&Qdr$cC=SqpzN8-r2i&&UIo*v2zAhDtv{lM!fumqf|+zC;U&1Y+b97 zc85LN>(=isHEq)a^UMvp8+x0FFZ-~a%VTib?N>(G2{<(H7ns3Zm=nELTejWn_MX#; z-78Bqii|Yr#M01ZHDY!m(*wS6<67HlGcMM7y$yZRS!^FWk1;!s=?SNFqkFGH-?`ZQ zm44Unre`k1m6qC@THJXQjy<@UOD3-n4>lQ&u~_-y#i(XR98wd_PyF|ftI*-UOnc%k z>^ZaY%9L-OuWLT8>c#cV&I^{&P#<mB^l|T-!urp<&1v}PU))gW=cnO9 zuXwu<<2DZne6W+SpD~^;0(OPz@17(u%VYfcCh^Wrre}=uLY8dvQtAKySp}h^ud#w` zP^`gRp;z6a0*rY-kLjn+5sxOWAY-l&(=$eSq5YlAxBia<%o818y*QxM6k$K(KXwRv zIly8u(x-F@&8(BUT5Xr>_vhI?UT;>R9X1M_PLC_gYtQv$x$XHbrxl6{?K!mQob2^F zJPxZ(tVlL@cw@)tHjm4Z?RCLGH}fgehrI&{`{`>9CG$6uIi*k89A&{0 zNLCIkhGn^24yW6jU+8f8b8s;?qmIqy^0@WY8_iv6vdjakD9@O8^{PBT9xH2_M2bsR zy(LaP#NeXg?}&0qPdLRDDo;sWr}oOrar^VF4ri9z?|>y6n

JTj20!<+$^0`CygD zm79Ck{0mld9I!8Bb?N@+&Do7z**UQId{ze{h8))X6my>3aPxg2PI{GJ`YOesMO{m# z#bRq0xt%QO5r>XEWQJ8|uiaJXapXC&3Jd+PEN+K&Ca*Ksnq|+;vFAHI&YV1Fp$I@n zj>nzja>FF?S#y_WFbHycJodAUgk`9w8l>)kWrpwzW62onrp;tr_~9pO#No-sqFmA= z{vR0UJ^K;iaeMT!jf8P83FFG&f02v|uM)<^VqZgXj43SX5r@XEHN$?<1$YkTf3UX! zF}%*4p?a}B*XrR_=1$#98f0@E#+fc&&B04*)J6B`-6-q;eH?nm#y-Oa_&a}hsJJ3 z$6Ux(BLt2I8zx=v^0WDI+@QntBF{8&PrbIDrbvv-)mF4?QaVl?1Bu1f*Vc!w+>CK^ z!KOfaM4R&5uhFJR%&G&oi6b$w7)`lri+S^f?0?{-8TY?L+kiic?oFfn!}r_<@(1Iv zxh46dY($Z`-D5D&ho;YlA^L@^Y{omic0KJoSOjqEU;dEtDa1(W8<}F=Zm>8J6<$B1 zM(oSpPm5tpk2o}Aw)w)ASmRx=ArNE8cNt4hOd2-FLXkwM2HM!fpbf0;k){)%`H zW98j%QNWh$X)X2!VsOQPuGEQ?N_xbh85e8?21uk872>xJ3@iR>NYN3C4J#!5KeYm) zBhrcl@e>k;*4D|?Lb2GeLel?3D=;)7tmxHIysFF4ns+MN3W*IXBt7Aj1^TSn=8Vwt zzf$h{U#!75b-6s*t{m@Kvq+B~US{4CEKOZ07SV5?O8pt*vXSBkohrs%A^Mfw{Oo(<9}vly7kBzuA+t zV4_SON3m|;z!zk^GSN<*xe|dxRX+1xwP!)0v(RD9&&tWo%ZK9}V1Ty(&RThLUDn(j zuRGuGv7R;9c6psHw;k^UWBdHjhLk;M$jK^k1ZsAQST<*TMYb?x$pK+V1P%?#H}6;5 z%BQHI6P$addL&7`kMnQz8;vR zG=PHyWrgO?9S-rsL4ikKA(chBNu)9s`|gA90D;98pbx#;$Glr@=gf73PLCtYgN8W0 zd3jkmc4sb}KFhbd^K3AGED-0HlI-3bkKO6gkGW-QRP{3-b>s_8;n&4iTPq~?Dc_K$ zGMBI{5{E4P&7Z2hbMvi*epsc>f+KFZ5C?fKn25o|$8EQ|>@H7kuHWrEs~PNWXa|_5 z!I<1=2abxF`tMWB3wzCw%~4nU@Pfja-QVEL=;mOI^eJO?2-1v@HHo*c$V|1jh?=tSq-Rx4@fkg=wT;pY?_*J;`lz!$cCAC&D!u`pg$8KG-Fto5k0I z*(I?!(uJYlF9R2~b6IoXM3KKRE6;C(0CB^t)tgh8pJnqF!p%{T@Abm^J{~Sh^19tt zkJX`HR%+@TXLsoSDJc#0{zJ`sdd-WY>iWL1(QOir6R+rv#o^gzD)&ix!YRf2thwNh zdd0nmR192%H>veXao*D*~ol>r&p;hzieWzKE3+u-SnU`^q!WhaI7~1hkkBq z{wJqb`Gk+nXu$Dqp`06#F*lfZk|<{^e|*QdbGX5|0U2|HF+F3HhYeWV^1o?7UXiCw zaR0)TbUmsCdDD|ykTJIyZ-PeP(Bqa1@}#j34$r-Bg1q0$wdVa`#=IiVw*g}gYo_P0 zXL*cyznJM6(``^3AL`f+=j0c(4o;i--EWKD%xAr^cCp>uFEZwSj_J7{yP2Lb z^@|U5_;32fvqJ$pM)*-m<4^>5j2s2r5pop7V(J*D$Lr&UnbSkBjW%!jr^CZj4jvYo zo)nLH#&H)3%)`P697?;=+*G|cWoXMYa3;DLoQ-Y*sVSt3k`$#mTz`Y)zi(E`T||)! zC=XITqyk8VkorIxthZECI;bu50ySlGau9NEf}|hZ3OCJ1)67rwD*Wdo&tzDuhK(#Z zb+hTslt%iDfO)Hf2b}36#GzNlXBLPNG7mr_a40bDf(M}SFaXszTx~vX3_A<$Nvy1j*2xpu!Z z%j0laJ-Hrhp)KEW_PoGqgJ}ay3p{l8zB$YwVm2AJ9*x`tX!)>^BvO~vDP23r;&}m zA!~$9jAslZT(bIBT7>b%;vs87zA*Up0!*B>9=2?}aJa^5bJt*@KI3)s&R!E*kZ*jK z3A;)ePm^~2wnmi4Vx&*$r0;wY7C^3DYo4m=r{6SNF2G83WTP6|+v&CH55EN`g4B=6 zg1{d)iPmMje+S``dq$Dx0dp%kC_B=04$Y9Qz zo9ne^SsmV7=-c!0n`URlCVc74;dMG~(4IJFjf1_}ZGV^=>Nj*V?++%Ar9Qf3m{=^R zSlgFy#hcw}v>wniMISy#{8XH>N}P{Ww#qm2eDb|meCWA_=!-S+LS5gG!-Rd|-Bcdv zE54~;(&2N$%LfhhGX{~n?UjB>tox_Te8ubej2bJYd_7L5aWGEjtoiGcm zeEdIzS)s2*n6Qrx3W)hU8(cc}H!9}}6&EE?Gjom0LgKCc!XtPzbw)f9u*-_q{z?KA z>C(!*`vjIQUtaNwz_LlwKDm!DH(B{i@x5nmQj5fCvWb^Z7v+JW(B!>g@KiZfWK<3j zG2ojba9HBvYih@#F~eXs^uLYEWg{Zu@^>+YFyeCJ5^7E(E;BZW_~f`8Ctq{Varvb} z&N1Thgjkg`;_`=yRBpuOui|?~)p6NxI}sRh+2s^rBQE1EqSjM5E=TqwY{ccC;|Uva z`SZ1ejkvr|^a@5?3LFualEH{eDbR>ZsnCc^Hi+X=8f?U+tdJ3xvc^VSl1C!rQo78D zOX*T0E~U$jxRgy|#HDO9BQ9l=8gVJH5tqL~llvm$@`Q*1BQ7O2;<6?jf-mNpRo(ZK zmb>zPZLYdppZBhLX5FH_#Tl18ze^QY{rJ1)#p-=4=9z*iD?#I zTd6pRR%}Xt_%|LBqQQy_{>8Hl_@)7yVuk>Xe^EX%6wiFkY5A;1iZ$vP)jg zD7C{UQ)Foa0A{1u2A@*&8@8L9)=QO{mw^;TsY{td>KU0xnFa~f3{VP`e7M$!gu(?z zHk2Mofp12tra&3#dhi2t#+VFA*biQciZy5isV*cx2=*#&s0ZdYhJ@mf3dJ{pR88dq zzoE#ckeCW_GkxlZP@(4V5gphU#3@P(z4-@_+0w{FpSKc}t&L1{wMArR8kuNG8^PYz z$V7A65v8fUk*TZOQ!V<~VpDy+XoS{vWsa#%8#P6#s!|}~A5bdFEJ(vWV+Z(06%6h< zbWo9g_ammJkNb9+Rkfb(|JdAnUMHH_JI#wz)`AK0@QkwZ6LZ;r zKkdh*Q25p*e&f8O#jJy{^Q&kJ{Ho@1bDvZN+ec|X`gP@{Cm`#P)+!M}gUfXPFDb1P zcdy*0bXMqd(w2Ffl}j?46H(Hs%FW8xm$VSzWY%+vwOK2{jwOq}*Xw_8Zk^Pz*Jj1_ zpG-kjmieqwX>O~Jv!%99e7a1T+_9a0tRZ}^dRpo6MLR)M+4U)r<^4=s4Ax@1_#XNYIp6CmutvT9+sgf=M~TjvP=Sq+|5 z+W#fN%i4?8Ai}LJJD9J?i)|geBWemmaO*l#-&7GBDJ|!#|9a@iip+#oN$FD`Q6fJN zn7>lkfJ9M1P_+!kzMIlFgdS7It3vok&G@~A{F`R{UPJy(GkzVc{82T2+bjR*n(?X- z`J-%H9`wndZR2;17{4pW3ix;B*Z}#naBQHDe^!oPoX9^b$1hIgpOxeH7V^)^i5Dlr z^NxR4j$a3hzbhB<-U|AGtB7}%@(*4a@21NXzd>fao9@rmVq_tI@x$6@g&0Wm7mE?) z+HHiz%Tz&s+My+s!7njn0)87S3ozceClwfP+>-_vFWj3c=HHe4I#}s)E>9~GYZt^1 z`jwJL#Lv930?XU&CMn^wxcP67wwP=Hdgu^HjY+}~(ITzV>9l>hl% z6t=9`LpU7TL7UkEgMQ8kWLt>9_mKE{Uo0kuC1Z|tRK?GR5{BO|zVz$xH2kT_i{3pX z6z&uJMQ{GKz(3%TMWdeyP>l(L!KGhsp5eb^)3!Ai?^+;!Dh@NSCj1Lr^0!7}vGLP! zN9GC_)|oOOG*Tg~pHX za)t26c3;$si&Iz5_it9WS^u?kx_|2n6UM%Mmz?3?FQ*);Xk3_mkEjv39w&ohv9D!Yp6y@w z#qHe+_K)}HHF)VNTYW0$H+O~gGc>;s_jp?qZ=#yjM} zvK9}~?iFKY$qjV2q@v|^Dz_XD5LO26M-2Dh3w=e#?49B_sP7$0(P??|d%_i0=Mz?Z ziFDw)^toOFuYZc#oN-0>_X!*H^S>gju)N{ph1|KH($%*F?;_Ceds@6dw8XVg5agQ4 zAjZb~hmsx;jHb&(jU+DWKbmSBknb^##Rk88t7jAj{R%VCt2`rc<>@4HZDpG=VneWb zx@em2@|PHs=MuJbeCz*;`x5Xdi{$Tj639Si1_lU+2xI~%3M9^ba7ZTC${|EW1yMGL zEFK);Qov&ZuBf009=yo01W*AH38;(*>Tr0m%Ata;3ItdbL1aKd1cZN8bysKJ3Hv>3 zV4siYY0|&9uj=aRtGcI)!kvx>2s;b65#IXSLn8dC-3DBlFW$KK{4Lz5OO$Wjed+UIRkd{dwN_%)kgtc}f zmNF3gS-#Yngh_@Te#P|Vvu!9n;l2%?ek=EiQDOXhW^XAP$9}0H2p|64Oz&Gp#N)V! zmW|KYxq_rrJ(l~u%xHXj`tC@=6&o}vsQiU6bw|b}M;@VWTKo^8Ic3c6S60eyD=_pw z{=g4na=$m=RzJZq*HVyx9^)E51F=4DJzs6tZ11caHa}$(58>eu< zVxZvOCLju|J4Y1w_9;Q&`uj!r)Psbz7e|(c z_e>A{rIx_zfjs62zilURZbVKd%tD?V7{{FLsU{_FO{W4%>VHXul;>PVvmxV>Pj02z zvV5#CIZL?fb&r1A87_aoB6YZD+?{9#y?YPw6M)JO?xyq+N6J1bpz_>g`k;OOxxmjX zARKG`*~swwOJYYnE2}Ux5kH(;x#n$(&kyI8Kd_WAKb-4mvPk47tpPEXkElZbmkIcl zRnCxKZ7n&woigB8TeXfS4A}8@3u*$6AK%(hG>Lq6wqk52!u)FM)|vT)#WS+d|Lh+W z(FdlOG1rI^w5{8^8JY(AEY1EVX84zFC!QO>;;!&*daXA$geZNyaBXM24#u zhy?u(($1z>;>ts5Bopz+7m4u8GldW?dRgEu-GtDt=tM_7j$u-$Hx-Gqp-XQZLH%FL zxKVwe`M=!&!o0Cyt6PkfkwjeAV|QiSgoqm#R_0;)2D^_pN4zM$E(h_~ed_;q81Vgd zK5-#F{_mWA^YqB@@WjzgJ5CTUF_zpVwpJB?Jvb)(%*?ulLweA%n=lF;n~VI`LRGbF-uBc163M=0rDn{b)TKs&ZWEVHqI=X(!+ zxBHem!W;VJEv=hD8I*K*^Nw(x=p|R2Xj+Be$^ZVV)Y7rxz%?TN-(G+B=D4t3tMZd3 z#Y!SvptLzwmMaL#MVn-3vn+P&kno0^B4o471ROw|Z~g6Dz4yk3(E{iDfbSc44_V-Z zU``)H1DSwTg`@#ZMF1MugbGDejk4>A1YD!$)++q;ClgjWd)^yv`php69cxGHcxT4L zVrL|`R>_#RV&(HhlO|)im4m0k*}x@l7A02pr}NK|)DymY@g2urzI8Y*Af`MBFSxaT zrC!tN*OL&IUDHl%wI&oAaBTk-LP$&7ity!j!dv^b0F3i*zr&;u&{j^R8dtX4NjN_9 zA;RV3zY^islp3&-dN!cVy+C5sYuJD`_bN8Pjq$Pkk}t%sJ2*qe6T%(Zr~k3#qi&`6QtMX4?olaQ84sI7`B8d|519HtXBZzO z&U6)S(1a3bOT}a8@k9)h%e0+M$D!sUZRe~~oLqiC)I!8>_63cI+Nw(G6?UK4fW&8i zO<0Q@rf@Jh8KDowR|@+QY=bg)%-?C==LE$wW{7ow%uwt5A>j)7K#6_WX2P+Vt%-n& z{B0uqTW1x1zL)RS+|l=jf9mZUXl+Rgm*TpS_k{iNtA@)DfUz6#&IOf`fR*7J)`s%3 zowcF7abayJZ(LX#${QEfhVs54!s<}oSgbEy^t~0wZWl6v;m|_m+ixPw z=_{szbq@H>`NtO(od-kzi<^b|wPIvGMToV^A_8Vy--&S9`W(VrU+qY^e0PAbHX9y9 zh5{-Zb|zf;%PEp-#`c}!1wW2o9NJ0YoS(DBm+(|PWWWW+4{W6fOb}!Ho1(^)0OIE^ ze35FbGWNp7?+rIk zzbiHXmgnCepLJy25<$Q$aecew(NY7ha0TuSe>!&O?UWtH2mna`?}xMjWR4R6&%r;{FU&G8#Ypg_MD+2yslW^ zE6u31ahi;a*Ds*>TKYRQax$)*ANh(t#GhXzB7A*>u(tYT!o~R(Dv%EywC>H%i@Aw% zA81M8+(aeMUrxA8Hj$&NmoRfY9=V}_K5(1ZPfFd6Y_rOG_ZkwEuYTB&VQkA2gtVkeZCGdnaS1JMJcaxhF7`Um`zf3-^$+*fArY z3Wy&(B5;XE6j#&bL`YIu#1gdGvr?Sg7vBp^;c z6Ko-*pO4&Py#`Pk^?HA1F=6$(f4{2<^O0V0M_3sp7)z^oIzybWfiX4e|MUR{7By*L z0>H`|o6JzD+hl=k&5a3Ca|RR4a|RR4a|RQao;1YAQ7R~(QT zC=SU2n1dBa0G^<+y}JFMj7w~SV#LVt2wP}iiuu@;DduBWrkE`>Fu{E6$^`SVs|;7K z`7^2|FI%cV|S0`D{;W61HoSuXXWrho2nc*`*mKi=1M42E{!TrHh zT(D~+V7lhj2{(G%ESU0*S;@KLYx>Bu!m zg{C19Y_G0m4@#ttQ=f)75JerQ%1qR8sw_kuNONKPpCe+auta-xSXs7L7vb6>5w|3m zi8JpTXD}0oIMGbpEzeeE4oTT^8=o07G0X`jR&h$6jz^f4R9hs%2R3W4Fs3lkOdN73 zp)kcEI7m+95EsUOnR84Orvsv)n_0J}7ZasL z*e(s%g6-BIMj~*Jk4DhCE-RxwKH4-zjCRcnHjND*Ub|y78$L%2N!TuVEcIKl-D0iD zx#I%g-bg$a208#5|CQQADhZU@1eFLpqsgTeZ&EdBZ$23lQ9uMw!-QoXY(AdTfVp{b z86e?`oCoMOB6vFI(lOzVV2n3{_lzc*w9hwXUg6@3#i70 zvOFbWJEp;k?rlhe8Rr>M5-SU3iDsf$;+bkLkZ`h{qmy?FZpuk2cEwP_YN2v^fat0` z$$5K-X;!UN>Ucy3Z0N|^jIg@B)av#|838$OQ37 z$pkZ&6$1Ug-iO*))mUc89N_|)g-j6RiV@I-6If0dB%Pb2Sjv=YGH(wmPc$ix|57Ts z%}HvLMm>!!$;eSJ87p0%93=@Sje490Mm;geVV>Ck`2%Odqh4YrJnC^KJnAK5&V%D8 z=Ai~LSs5JkX#D3)cogJJI00cC^(J0#8pD$fW`b6`(F7b$Mu<^ijd<$9XGvj=&CqBi zY1B!f4Oq=JLg5Yd=h;ZA#97B*(P|2HMV`%ao5TC^a_DuO*h@NLIuXr$@9f!k{#AwR zyS_Vzcmfc|KZ>;mhKG0Fc#{DGG4cB%xpY)g_M($itb9b8drvFEe1sZnlS-J5WRZwg zQ0Na!s|tlrl`9q)aBf+IFdyT_f_D(+Jj>2rH%#b%rWcFzn%O-m0v{A>h+DtB>|CwP zF=4C~7WgR4|A-|g*cf8`V9uEEu+ay0uezI_Atd}<%hQBCu%ye^s0=zqTRyD;Li3~xMJVu)_r!`?dMyrT+5JX_1J40240w?$+jfeouCux)hFrOVlo)JNn zG~>yIveizFiQ2p9uJFxce?FH7wZK|ofuDd`Sj3VO8n^M>v@zkMo7-q>r3@h68#b8` zBXKRo%?KewAbP6a}SbZU-7V4(+O6U~7_;gf2@M9gX6 zZ&?I|06d(j5)xS`3lS5=LPkXIfm&gKke;yQglgk|{V+Ow*WOx{C=)0Hh!;HBlrW@; zJKq{j{a*&#gje|oRJ1H?U#K)m}RK4^S;s499Sqf(brHYR*;)8 z5u^T>5~aAEXv0^8OHq^93WdFyR|eoIl@yitzrPZ$R$4YHyX{YJ)1$M>MrVl?A9Bsw6;dP(KsT=%{PelI0w3e^tChq|%@H zkO<)X9c{lM%mi%RaR4y%f2Poxaf~8x4dR`S6XqInICDT`0OS7l6~sXOMt)yLh!gkkpnQZr7 zJ~u(})0+2=X!rp$X$^^_-FkIzhb=Ply&lAWfZ_Y)kOn5COpK2kQpCw!Zej*;Pbn>5 z7Wc{2Qv5-(5DoR`F0n?O_i&dfp8DOWM3q@Z{F70MDmB8$J$X__@c}E9oXk(D>_mYY ztMp<-5b|H1)s-{FthHo{S!pOUP-lTmFe@#QV91V@lCkOQ2rH%KoEHq?xi7*`d8z$b zYq@L~MNkDibR*#kCSZ*?pvvXL#>ayqJ|8x|C2mJ?4Rd9<;($!AI0X6A_|Gh?Kmt%g zS!l;u?h?lDXN zDs4R<+|37lqcNl;qe4+od5#zXDdmI}bD zf{&vf5tUnrA&DBOrmiJS0~NLZ*Pqh|HBxEc3pTSNarLi+)j$>hL)f85B3NmOL_i^G zu);Wi)nFy_P=l2$BcettNdzkr?MP*!)^an}3YJ_{ApAv~IQYO|qUEKH4jx*w`S4tRjcy*W9rQW_&aAKFZB_A)CC-CY_aZ2{h!-R8x5{8>9*WWL~ z%lcAy?xFF7`3hzdhV|bwKy}aGx;9!ZjLZ;*@^d>(p_9zwrf`n`MxFJ;UU-r)hx0w* zWbByojiJC~Tt0h)2qz8!|LFs^-HggF&J6{t?YA8oAKD0yp3Q1`nlM^%$2YZB1ix2i z-sKxxWzq_JY)m?3s!1#D#Un2h*k2%2t#i8&R#x6`?@hQYBF(_Zp6V|IXeJPW`rVsb zj3FX`F8*l-#R1H}-6)fpD68$V5dQ546T!a?AtH;etf#EPzxI1+gldTeg5CIY@PW5e ztgTdbs#*Om?NlrE`|`EaEMWGXdXkoe*)BCJGudvnRCY#`p=_moKMux*Vja7#Djs*(^oAKr>RIudqGlW$Ic1?x*9}u8ey8KbXiscgXhBSAVxQNCZQpzyg zubchW<5c5f*L+c6tNUnI%(!yZGlb)H#MNBwr{#pTtO)%ILDTNIlk_{Frd>(Nuq9uJ`p-~B>P;rJVbX*_{CF_k?Xjvzq zgnEUEZc&od1HCdnjQ_G*aE9D%xIpSwI3B#cbd+aF<-@Uh%b|(ziI6NaBk=c>( zvVBi{7!q=&ezZ1X63K-ce&>o?Gnzjl$LGQS5P~De?~3Vf5a#hccPd;&LL#tG)NtZx z*&PbK;iF5`aEchB@Ni3M0P}Fnd8*+W%f$I#jpvdo9`AFJ>XA?@EO2qgqf{#%8F{#6 zdp3Odl!P4{#FO$ooHORpHd1n8fM89t2{aOxH4+z)c)W%e`~+wC@M-g8HAnF9tZ|`y z_>_e0m7=-up;1TVO@a<%pC$( zzp(u^-VQyNO9|9&2qQqSI((8DsLhHjfOj($NI-&up*V9IrRS59WQ@&1<3As@aJ#6Z z7%2@+^RDL`Hiw$70s?aO-X~~nor<1OJ7mzvuGXwtnge1BUy1!183-N`UF4Ld7rU(1=)b0= z&#&2I!h4=fkEfR0oTsn*2FnJ|LN8FWb;{LM9u)+hi&0<`z0=I}Z)!ndCcS*9H7gB$ z@#pnXz2MyuD4G4W&`&W`T!(&S0MvKOF3a2+yc&zo$D|l6w&!lQyq}7E-m}M&iSPDc z!>}Klt2gqL%LF2KE1f3ttq^(qlg-x8m}S>fg)<9fPXFMlol?X;zV!KV2G z^mT)+nW^B{GfzSPN~=ADJZa!b42@1dW!YFWan4S0`_WKdBRyxQB`tw~uUFopiU7YL zVB+3>f&lOsLVxJlG7%qk_Pb z6}8v0)^NP5YKZUZTDPVm%PDL0P+`b>XLDW-Hwphqx%H(QnJr53yYXWp2(OV78C~~Qm3wIWZX;0TVl)H5CZuWZ$wI3oI`B&C zyqYx(NXLqH)}|>zuO8`O{nGr($0xM#%3>h|+pqfF2%c`#Lw8*cug^iOL_emu7}S86 z7^r!o-4P;S6+Wvs{iwl@<0T|6ioUIs5$(j!LJCwNCt4Rr~$z- z@TS-P-9!QLU|g@gMxaQ*Xa>OaM6KB{x^*oIh6BD}A(+&0<%6}OqN4olaM%-o1yZ3q zT<9svcjx)4y`t;^6Ys7dynEkZetLEjpD)>xt=k=R>8`7+U!=8tXs5QkkA7W4U>W+i z@1+I0d2&y9IkmBrv#a0!U4gWDkDlgO983-QQ(8-)G5^^e3Y6ur&pU@f3Pp9+xxQ6+%PAMc#0B5ZL^uxw!&~z~W>;8ZEk<9 z-Sq3Q!8Br}{rbJ#)cy;7(l&UA6a9A6^&$Xc6%7?z*cSgbv)_Du4|7!{1e_Wpaki=q ztY{SG1$YYIQ;;7D7Y4I^&O+$i{%{~Wzz80#2_h`I&jBbq;j=06yBk#T?Mhrd8Ju5@YbEjZ=-_@k0aknFY}4Ay%Rv zQ(PE*ng5YKH&`?d`h|_nWrq7(R015 zD{9EUpwpG(4*G-tZ~oPvW3OV_#7i3+0rHGSc8q2Kz`^LAUSz!Q&o^$S>pu}!jd@hC zWFC^o%p+2PVTwBB9)dKP0@-JJZPvA5DYH`FHrcXQf9kjN$+iA~H-w+7WgB0+95f^g zpPOV`lKoHceG2X~aGSwx0k;+0HgKPV`vTmT;I@O?q2Fk-wTbKoZzZ@r;P!&s2kzhC z_JjK#+z;Rmfcp{LL2!q_{RHkXxSzot0T%~%6x=azzkvG{+;MPdaHci9PK}iQ4)_$f z)8Nj4`vctBG_9_74m=t}(i@yi&#p=Ta{Ooc6~jhV_j=6Vzp8r~Km}}MxqBu0F%pe) zrDI`P*sY)SPblc2#2_vpR|TpiSv9 z{m6EU-E5z?RKpbn(YIk0`RQHeZLe$gS6l1sFP?IViesP9M0|&|=%=*PU({3_@T;^k z|NmKSV0tpvMo|Q{VM=R5ZMNQ{H#iN`sU2%pkgjU8)o)g{K|j}q$&I7leTbl^wBGiI4###zH@liKq{FU_z`)GL;!f2z;;(&DJ8<@QU@ zV@*Eb^W+45L64rbB7Kv&&4JC@ozZkbcHE>&r z*x6U5R1s?coo?4e2oh@m7>$S}1>A(%pi0iEYny9oQ&$KUai-%ABN#GH zG83a20H#6uqFb!CmrPgZhy39Jrw6Q|hS7|#3oN$=3q8T?kiWoH0CWX>d3n_~ac-x} z<92zRK0W+~C8wz`2h6E@stqS%IfWLQtaNboGK+S9e~>UEmFPL;2n!GN@X| z6AJi4K0j&pN#6-V$o4zgrJj6JOei5gY{&p9eRX;Tkbi!wv z+NRZrk1$JjOmT(ukuO@%ocYZ1^u=h|>I!owQ!~?(*EJ9vI_Pd>1Q}Brix7-v0GNh& zM@K<(U&5oHyl^<*9S8=qi`)hNY_B%}R;Jx~0kA1t;PW~ms0fTNp~2ibVAwd|aRNQK zddN6WcMjCPBbFs}%s75SnrYv(y9E~zw5%K@K=Tu|jKB~L!9^npS}K90YkJnN zA_;*a>7`~3j6jjZX^^BSG#S{T{qrXTs64bsLxp=1SHeSi4oS=Kk8Xjld8M z0kMX(z_9~0)B>k^gKpja18ms*`tF0)9p;wx_Gnp;)E2E%G;W^}jQ;T~8P>p9iGECR zF{nYq?upcNU3s92fWI|4WCTnB#!B>GG-{G~b6at|ihwE6V@ALfV5~&{#iGWa#G40? z{#Hf6OZ`q60aJjn68(~zE2HOrso}8_iA(D~(|D zudRhJVKf84G$1q=LJG=DA}O`ahpI~dZovT~P^Duu1GpHZfUqQza@o>jRi&TFjT?a~ z9ith*MIZ$wC6ScE?x(6sZ?X3`BT%JdGy{;Nw2Ff2^rD;>T;lTua(tm+wXJJFk4?91 zHxI8kqrLHFnvfR+(=L;Xx%&G~X$Ox<8M|R@r2uFd>7`vFmh`LS80n@%wM1T+Tme~1 zbm3y_!3#BXoS|D^vhFvRue-%m*5q<3EERx$^%6MWExCL!dCcHZ!746|mjgcprSzu7 zps|T9vA${Qdf}Fd>9Bv_GV52V<>%n^WjUViqi^OKr0Br#Dvt__g73Jgb-o8w-XU*x zUcNJci*i?X5H!PJ`qkwO27}>nuu#wH1bXn0FX#(7g8}_$ZEHu+iibcG9)P`1L%3j2 zQDphb>>hKQsc4gIxy#0uD3A(3|M^uQ1fq~f#U*ak+sxc3T?uA*+j{f-KtjNi9YDIg z!TkK}klUM=9SjsW{rN6fJQn8DI@0V8h5~M{Pe1Cnb|em*>ux!e+8c^1TMf5Pz+?bxXo%6O_JLaEMa%cD{vNtL9d_faTVo(O<6ED8uGw4%MX@r z-M&CxUfA!gzQghdy*}6%!m3~0z}RyuEI>e9Mxwdm`%)`U^qCdK)&Y-b6vt87I^a=3 zYogY}rZ&DpuhR*2&h{4+!GT=>HcLU+v}OC9d4<6OC+tka`lt=*8D_uB4|`K+n*>HQ z)#RJ;AFjOcKu}in?AzAQOl^J65ZsFj7iH&%T_6*F*!~7XMFrWe zV39xQD=Y{G;iv-_^5&r5?+iFS`gP@KTGgY6@3uD5d-k<_ncBBqiSBq03h5ZTt;+Kh ze!(E+fjC*wtM0Teso}*uEb`xUjma?$^2UpJ=%LGZ+R3*1q+OQG6u2Pu6o>A)$J!7eVt)!;m&6Dh^THTWzoA7iV%HSO2=d@{#66Enj+E^by+QFwagI1p6%2gAL>L_H zJ@$flv7*Rg*30j;enHiAJ}m1SyAM2gVN`ik5P0N;(Fce98HIMWX4u`#BAQ|0{jwRh zg0_vDf&JJF7=h>m&jmFDUKHh9Ksm(S%7-v(kW28iNrO9~Wnc^}zYS3xbNjS_L;;@-&jK|&NLG?AsD;_nYK+2eh5}PW>AXn^h_q9;&8k`{X;5}Oydg%)3}0!kOxofX@Eg`s}evkj4;{J z3vEOiXRz65oWUb<99w{>%rrOJzwW z2P+{{j7Cg>712L0XdPr9YB@y9pI&Q53K_*^z(d=hs<;e54=so|SjDB{4{o!5 zdU01k539wG?${0u0*fDRgfv+8us;>oLKp#;N$AOnZr={HT);vIIMG-?J=`J|LNot` zOD|*r`s$$1RE-DJr-5Hli1TwzS3|YjAdvb`-@-Nl);p%LAIlq^yhan;r1@oPoT6v&dE8slFU_ zx?r^mtI+@{S}%o#YmyS(4R>C0oN#i1XVCBKRa*bsEWgbG$%SHER8V9FH50Qa(ywB=pzMOp0sX%ccs_5d8ZlNvHMNpNzl|4Z#J` zIch1KOa$S6jML>m51IOi^_I=%?0uD522+P%BUAT!)BQ$Zh=zcud#8(SVNJQ%x+23nZGRF(PA=q(PHukfh9y9_&Gz3Ih>vC%aj1h(Y0)J6~H@m={AB3F( z?2_{Gg3fHGCzuDD+Jb_-f>1TFbot$$px5hyhQu{<3Y-e8JDJ`{f3TBfdqyhUwlGbO z-)QQ;zo9Ai%Rp1?V3z*#I%^Zl*%L$QqkdwjK47u6c^Y_3UH0pHrogB!Qs~!>wPr?l zym!$_n|`xpyIqks;i;REH2vT@YjYwCKOkB4*GsZ)6_ZUWkfmR@9+qriiv0}!_dMBS zKdFz)vyH4LtXwN=*T?c~lT9z^;~uL!EnViuLX8~sg zX9HIcTzznMa1FpU1lI^$2DrxHnt*Ext{J#Xz%>VVDYzEkT7qi@E)$%?48O?C0uSDg zX#;=Tf@>#nd-!_=xGTYR2G;>xM{s^{IpD4W=LF{h=LY8i=LP2jcNw?n_w3B_T5Aeycl1r>)9Bg2rx*3(vu#I`gN{89K`-g!=2Qej+w3WDM$Lsf=;&9!f3@w>A#h?0C&dA}9moZ^L_Xde zPsr^zL6_wKD*1dVWXU20RyhF49=M^fS0NbuhTBzp*4ny=LbKk5IwL`~Fk?M;wXG@g z;j(6yN$??vChGYgrqtT$UzgijWb_&|VaRC54P$|mj_ZdEy=&wMhfA-w&^CV7KWuj- zH}mL!Kr=5xVKBX{k6U0H*}~WtKgXigzgdfHV@=ETaf{9)Rf{2`S1_a6D7|HquMEb)fa@JSPO>eBvU1;;vDhl_yLEpB}cGav?=Cp{0i~tHs z&OJIfjDNF7&~H$SC;$DvY8avxH<>ztzGHmGAfO;*!Jse4;|UoWkJ`xN4d(dZrfZ@+ zkyr);mJsCO!}E5nGm3{V8hgq1gGsMkW~-}@UTVwGH!ihh>6tGxOj!nS;LEmFdKi84 z(N_k(I>pz8`}XK@Qz2Z7ur=1-TV`urr&m#Nj~+$+i^Qit;FI2F8N~1UGNim6eGi~- zA^P5V+4ceajHOCK|9^K2*%!|z--aGxWGeK<^QEZXBNA&GP<`=#I{;*3p^sha3eoW6 z?q1L}JbJM7(@+%9D_7b&42FxgI8r5zf3U_)tgB#xE0_bCTf<0&B8Lm&#K@xlu27CI zh&N}&Pz00&{u3o3qGb5_DItY4taKAgAacBZEJb@5h`sLA2bU9d3heF~uFb7bVJ6{UX<*HI>J|zSVOm4mFYFl$z zU~{j}2lIuO?!j=rqCmX@#>mX`g^TRrI+x3@zp}~}(HFdBtDoZbQBCkyxKx#Z8%Fq$ zpVqO4oI`#WjqpYufjplt1(lVMLJS^{>7{SlE=_^k^t(Zf^a)X0(|&MuD#r;sm&9yE zO=>V&g`gD@1}jlsD)cz4TYLT8*KAFj!wr-3Pt$Og`I@cS<>w0*tOJ6ao)Av1iPeGZ zy(0V28e6lQUGS>_xU%%$SH}xd?@p2(QC=XF1BV|}UQkJbJq6UuI5nH73$(v8l;fp7 zliVA9t{k7oFxFESaDn7F1LCe1x3B1tz96h?jFclCZWlCs04@N|e8={XSR8<2C4mCC zl6C&|5b*1K>mj$x7o_!2JNTtg^(eB;s`U`{g2(X2QdOZ{`nx?YytL-5Ca7*F?8JPq z#Y}7hQN#13pwbdjh?bR$AP@Hq7d9}=Xv=MvM4w-78=9h*FR|5+){ogvn)GuUY%TS+ z>tXV`dL#G-qwm3u5Pk7_n7r1aZ!h{zW2j>Tgm(G>e1ApX1oZs_eQ%;~C;EOvUyF_4 zGp`5V0Q421Zw~q%Sr7Srvk~&!h~Juh0N+xPf}7EohrU_pn}ojY=!=0bn(?7+m}%C> zu=)|}kk3tWJu>=Z+hqOMxwbNW>?gL;j>)pQclKdevq m%=YN4&wwD>CzZ^#)z(d)+Xh!F`E%Rkl+#-D#OJnj)Bgcn3~MU@ delta 46041 zcmchA2Ygh;_W$0L-P~(H?XWr z;6+zir&Oa#r4qo=za*6^28h^|K3meoIF%|8uTotFSE5#_wyc~ktgJMwib)Wje_f2Z zJ;oSNt^0lcKX(hU5G7FX`rNp?1f%(lho~QWzJ4~oLMUGrJLk28@v+9Tbq~`pZ|NF% zzFw@mC*DBG-NJ!{C(-L{l3Iw_koUmF{>kmkoU|88jOY&Bb4^9S|n>+k03V)Jxy zxXHO=niYbBto>QjBz9OgN;Cufub(x8;BoqAO&ay4#Bd1jcU&_zc2MD9l3Xa}kfar2 zJh^yWLyTtg)Jaq34;gOmW-*)1WZf^CaY-(qn6HKTCZ7oNwRUqL@5QIYaY-19@!FX0 zl&8h*BQq^2q*w|_x_zpz)oA-+Dn@_d8*-9R;I%_+^1 zWRfl>G<>5x;Z%3BKQ19fdaOsnSM5l4AR$qjdq+a5o^%_PV3gM0lQ3+i-E4K4ZBEzx zAqCkzhjp`AJx;gFZbR5-csGmH;c(fVP8vGAo7LgAyB#(&8QoHBEcuQl^y%8cKW*lO z;x<3FZ`c9i9T+Zo^2&Ij!CdMT`rf^;vn1Fh>Zj@ z+22OAYiu^N%i(a6W^Ki0IWj29&A-K#m(6mS+rLl$!fsa7)?t%F2K6r(*sD+Pfw_f# ze?P`xaoX)}tAl*jPHZN2laZOMX)8`5H?>xI2WzL3zfxr2E`uFec8>AW->WY+G3e96~83HzB$c;_G$XN$!`r03brVsWhES<@N25vkaQo($|3R70ufS*I8ysK-I` zs4_|yUE&gB#W3+WsTwHWOU4ct`;%7-MUi|yQcPohw~vzjq+LZ|Aabfmyq^poC-!2D z+a>@b_{}FHCW^h8-v^Vp->9D8mp)n4l8<|fEf`->KhF2b0I|!e1~ba@)Jf$BH2U)8 z4W`I+LU~fd)-s*aWFIK|6}Yd#eFF{~KDzQ54cj9VDeEJ)F8{1ylNLPWGI0HYoZzm4 z!>*kO{lu}-ghKJjcv3J(>>>5ION7t3zWXavr#1f)gDCS9z+%0Yv^lmxKYH^v# zj(f#Ea`{7x(5PkJ2qpIj_{EyRM|qG7$;S%5bqR(d9-BWuw=-c)5K+HFh6NI zU3`laEf6co=vCri(u;@_srg7+xww>CK-ya_b`WStjMYvit`;9;{+Sixfmm$e6@KI6 z6;FsWZC-n` zAl%B?6Cs++o~TGScY0i?`gOFh?A2Jo`}HuPQHNnQrE*3Sf`!j0e4P^f%NBP2f>CtxzQvI`3PS4SEO-W8*TqNJX}frm>v)NY(0 ze_-o!A@iMgRoiu0LgjwouB|!;*_qf5!e3-B;p$*!y(=dFnf6@lnUj}QWi!t}ljV&u zq;UncL8(`I&7;-1R_P_!HK-Ni?CNu#78RCo$88bvxSshcjV zPi?tg4P3T=Z{syV?T&C ztT}mFHFDvy+S%h2_L>KNqHNe?Yc)MuKCVRJPlD>3bYiklCx48>j`d9CoF*h*NTktf zt(HM{&PFpGl2>SdAr;4KvsQMa!fv^D z743{w&XQ@AsI`OAD*UJo_G73GK5G@PQ`k{Fc7GTRpS4OCDSIaNf2ck3au+I|_%<5S zVH|Bryw8i?ib@!#cR_!>irn|2IN8X0a-~N<`yvd&Rbjo)^edtutg9`Ey!x7$PNaXp z6li8dxb)x6q9|x!rJ*vbI!6SOsUM4yTbfi0_O&Fvb7spW=5yzewK}Z;Ho+1rff|6iI9>2!lhsso>*pi8aFTNMGMp@mx$7 z9ocrD*fglF^witpUhQ>koc59U9vOQSCaS;xM_eJ?8zE9U`H9#-5K?ez7g|2^cZzzc z_%rcYf$ZKLW*Z3ED`Z9Tf4fUeB%P~8wY1}N@dZH`8IcYFhX01y&-{gWNXQLg1JfN9 zd?6mx5by2C1XGkpvbOvYbsG`kO{Aa1^Fr%zUmZz3BL1IX5Bf^x zqhfUoPG9YUwp>W;(Nu85v?z=9Gpswf)$^lDH)t?oK+tw< z-PZ&apM;?Iapfm`KxUm1rz>#-k3NMa8kQ?X76`=6@gS!CfE z(JU%n#Ct~U5({Bu0VlSe5!;hfHKLvbYDBe$oQxGdd{u+CaB4C?PY@1l638btVr!DS z(a?fiIU`O@<$ao?TB}lA#W}HeQsJunWg3_D)>ZSi30E7m$dImV&@7J)ea^|H#&^p?M%?L95otGvU9YMXwm6 z0DFuv;9|j@i6h1sZKD`%JZT%F?P5YTnt^{dxSznKflCLM0WK3<7Pyw+T7ff>M`E;% zOKt+PBe+iBT7W|lIq)=tvw*XLvw^dNbAams&IQg5&I7IsxUS%C2G zp}bsp{s_(wE+5=2;Ch1V6|cHUbt@2q!1V#w7hFGZ{lOK08vw2l+(2-7q;ITtX@}WB z-V1IHxck7(1vd}ed~gfE-4Ct=+(Pn4tTsCqswByd(@smeH1qMAE9)EdT$uMn>JwQT z8xW|0El5qGwhcKMr`1x%i`Qo?Qfu2JW2m z;Cj8TD4?;H{5uIcoxVD#CfG%e(19_0i|21YcydX#3&M~&Q$m$d2zM|8%(O^Fd zlUXua2Q{P)W)KaV!65Zi(w|DrFtC*<%7&?FU9xMsL4C#54cm&5S2e7Q`P{0#Zja2n zSFJ`~aMLIiO|*|_}8_v4snmUSB1r+mem?{-*i9uS&+4;ZU|Q>YN~@}jO7 zRb8|lNJca01?5F)UCh+FpEU#P;_?d?I)BRicynzVHQUG_kG9ZGzK-6|GFp=PEwlzT z+kmQz7cEPAr)jlnwg#+&x1uAk;#j3;lLxlRP)7tpiw(ync>;m^S}R7AsthoBsnNgU zqr3L@s@&4#)~=22+DA>UB*CW~Y$vRa7=&haPNvqNkr!yl+=ldOsrA>M24S@tiZvNm z`%E=?FQKl4Xtdw6pt$5!?_W#k&L-H{JX&o6?hxR{16kPunsnV&u1x}N{rnj>RJYCv zd_Ut)#X?ofFtQ0DZutC}aGGDJaTx{{N8XF7tCC__qzG(Rghi5fMe2$Km87#q-hrxv z52{87Srd74qYh?T^T_^|V0GMJ!7W>IrX^VYIx{!uCo+s|zk+YbT%Z5T7>&G_MAmkI zn*82N>k8wlNuPhVyE*2y-(Ef^kHy_UU$!A5Z?o0G4Vmkl!1s8_x(?dbWI>iTi&Qtz zw!Xf6)*20L&kFU1oyCx8*ZrNL30yzJZ8R7KwyOSO6r605bynyIWY!+gW4ubs^imixsnS()wookbqquU+x#XmIsXS<;d-|a&pK;)5qjcIy`0RvIlC&~jBF?;5p#hakPA$JZ0V0ignK{$*)~v)g68sW=!53TBAW+-X#r6q;^dsN;q(zT zMm8oHIM4$*phzHX*tJ8mDp4?PELKcAL^5iWkxhLw2FvlVeqg)-=nbH_UWnLLo-u74sFHZ$|LsF9w@?xq?0!QHz zc-lPG5Y1NYruqif?G2F-xa&VEDhWcb)eJ+KFKSkME>K>$1WX%!F&DwqP&1TAZ5K>U z_6^sUBc3Yi&?1#>vJCI=s1SFgfuQNU9-r7PrKPgzJO;Hc8%l%VNmlmOwn}>Nlv?PK z^t&ppWdePYqTWz~9O3iS#w#l7n}}%lD{%ro7xvOo0g2BwIj#b5ttU#A16fiC(6gg- zfj(JM9C1a6x2LvcqHfBMsznD+s_=O^pdxqo)V508`{Y*$gljyJQx2q@NeFm!d@>8M zL4W)DRH5A%m4MHtMX}UEjpX@2_Y9$Y_65~=csAYm2a6_$mE7D^2}7Pxkr?($PpyFQ z;K__06xl9Ctpo|T${G3TLXv=Lj$G4=fMz+26M*7L%b1PigU-Q^kAvg+15XcyMp7*B-av%xw#E}vL znWqet;d9GSZ7VujV8>2}!l5uYK!=W_AswoQLDz?Y*r}sOkFnaUied6Fhn{q77%p?+ zxFAQPV+>+s*gq5*Pz1<@CrPGqWe-ev{ctuaArlU6fLV;nrMFYfCXh`v1$pzSTYMaGYahaLEL`T%CqBz zVeSL?40;|KGFp%WgP#5WHGq0v88j^1^Je28DhOnrG7#{o$b579Nt#fYr<|%F2a<(j zz-l-)V_9MGLOp=h$rzlXz&MUo2*>ejeQ~&lip8cxSVA}|blY~#Q zejHQ<1Wk&EP|rKPw=qxTob)C9KnsEJB-0qG-aTE(4O zW8%GU9aCL-eTgvXU%%j!EW8u4_2cIURU;cO5GKVP!DqN92Kt&lz&!t0f0lCMlY6G$ zJN1;}iDDkJ!4DJipISwKdxqm-r|@TI!AWM#*G`XIgPolZE4AHt?&}@0d$Bgtz;1m5U22Xj-#x`Pm zHeMpU_~nayc?Q3d&RC@FhB3((i{V$Hoeyf&3CPssoo`emd6BjaCP7vQwB3=ZWvF<2 zsCYK_I`;HZ(tn-yCX6IB3d+v9Uz@2ZdT6PT^nyEFds14;M7L+6VO^?<>*LR}gbHV~ zHxMoi`ye0V)3v40BrJhuq+l)VZel9t0Sh8hnZAgnLOQO~HkbEm?tS%`s%O<#+!kc~ zVwva21KL&^?`1f;Q{6mVj?g@qx;C>B(O+pqE+YU3T=9$ z8OtuK4pcdWs&*nC$;H3GL@&u$q-{*AX}}na5n7K5ouNg|e)aiy)g9#Y5>}6h*RBu7 z#A@1rJpG8)Kn^U0;>ONo^9%QdsqZNdB!t9xdl?vm{f61|+ed|Cr@A6Ht3q)>Yux=f zlqX|5@bt3+oEHoiD#QnQ7Z7bmEC?g(h+Ns#!9Wm{sX-CdPEEK7(q=WQ7@^IyFs!Px z{cHD${iAVVufLM_XyffA7s0*5OgXlZy~5Y8yv0^IsAm2;F(fw zGqSc)YoncmF&LS4JP@EI&#c#Wp#6>40jKzR^$Ims&oE=L?IWlx!m+ILyoM8Ren@1c zzBJSz-!;9bkQ`KGaV2Cn*weNjAE#uNytWRq(O=&Q&WR{J=Ju7)e%k*k2y;5nl3+#E zGRW}eDyRu~ys+q=*%4;(J|C*&#&SrRZ4|mk9a7!dbdr)X9I#Llgf5_srfLQph&#SA zLLM9prad%BQ3ikkRtbIuPsFpxcUNrCj*lE=iZ^IWF(`Hv~(!{-)h5v^GawURe+88bEI0K+rUSS4H(SE-ki6QAF%Y^1??uGwauREv-|BH zQ;y#PWn{NN9dqR8m@M|Zd{3SyCnwkMCSwQa8frWq4_tV%kTah^A;IMeZ>+8nNpGlY zC|LyEvr$Uw7;AC3NOC~eFrMA#7;Dx&Lw3HP@6a8xzpv9YECO?nn!9OH$hf+6LNQ`* z$6u%7GZG`eWEONAqE#vmZbaFLFIJZl3s+l6m`=O8O%Kya#$1Q0`S;TBNKADa8>fTn z2^YzHW{W4^lw-Bzo9vDpFg`SNC;^`%-)DE^TFgE)d5Xs2uvlzPC)t&&>lov-kZoV- z8lpB;Bx#W@`R2;9Su`!L;|#SA$5qS6Q~MM(K2PlviIHDgr_sF_U6sYF8fokFKZSNM zyn;q{7}xXX6_oSy3d;RC=Fdofj3=e)bWwINW1rR6IHBh7w*7O|J=s%?w9 zhbJy$o>=9pSz(DIma;6G2qJ8s*mNccR_wCdS9DAp1 zqh=^Lc!-9FVrfj{dYFOrKdHp~lHobpT|jAa<5xHSWd;@s$L2k=C{_w11WRSj>Y)WK zj0h!op>QR5p~#6Ug%X0LZE5u^6w6D7-4R8Rb)05eDT+^KQ>+w42$rs9>fRPw+N@T) z)#G!Ue0Eo!$?o^~O*uZl#pHD7d7Qacv(H5i{!7hX&CespiULIye{op{W%g_}5+<9+3F~O9icU|BO-zxT74Z#Jfdw*ie-Cx?!zY zQ9|aguM**wcw!3edle<#$fy-7O33{6RKgx^iP>|eU`tSxSlO{wtSBM#qY~NKx|gFY z4yY5ae4%d~b6deRi|S?(@T%#p%g0xpVv;i`izkyK>zN=J)NN z=kJr9+tZ)hd*I-{-E468-{ZEq+_WocY;id0MN`IQ&4X*?R;$ZNEZ^$CfT>2{@dJ~w zE^q#D80$J@T=07`9do>S&8X5vEzi>`RMq@WTE#dHe6*fo&d)KI$N4LtoJHkxc@)R$ zyT_6NhxIAad);-b{{9Lh)@renqNV!NU~Sd1byPtWU)XTW7cwQ|Sa>AfS}l$Ct=IcDp&!=}>9>+~CvG|;yW4bOZ^6OrRE)!rz z2T5P2{}HF2k!eQi#C7IVOpf_flJoN^CYQ%C*O~KkOsAfEdqy+$gzL|C56POMOCdcB zy6?O5lIPP;j`?(x*Mvxn{Q7BR{YYJ^u!kfk>y}G3C-pHx4|lHFX7>9%ro23tA69d2 ztI22fSWWpkISyD=_-uJLTP^L-VzXMT9$4F1PU}q#>1FV+R_G+jd9W-?tI?al@-u$D zN~aPtwx!XQl2j(r^$^P-LyE=)@4A4OVUUAY zwVl#j7IU`C>~`YK`LHZ{T-kPVb-8W>sg!i)9^S`hQk}eMmuVi#7Idl=SG~NK^*%B` z#r0A#9erC*i>zU!Yo}SlOR7qL3DA$x*lw)pD&d)4bpPHF@-C}om zvSI7RLss6V+troV%grO^h81}vHt(nmD{{cncVl!L|Mt*jBK;E#XGr2yolZJAPWNq8 z9d{?vJIT^6HcJxZ?4(Ohb%8v5x?%i(~!ghBzrPJ*m6(8w1=A&_- zKMUvh^1*Qa>IV1V%u3lU=9rI!oS$Qq7o+b^Di7#VrFV%TiV2at%bQB7*BHJH-HEw* zF4K+g#P}tK`A$p-mR28totS6}vLkL`Z9b$sTEYkSWjE0tNiiYE++-tfW8oZsbuyfv zo1Dwzn466AbByv}PAN6|39CYsd*rEP=lXE#HCe*c;?~Q0pJ8sj5G?gQikp#9Ck;C< zab(4FhJB%>cWxyeC6#@(KXx+A(+|N?+41_6Uf^H)JtQ;PkQBNLIo`>V;|+mhUTW?; zSUAW3yb#XMOP!Y@$Gp@yKgTE!8iF)-qXA~Lf7g_BQ7~h_E|q+~-tY~Yk=g{ zjn4-|u+;NRv}UBU=`DwJM@snAm*7~gV4Npg36V7(! zCC^HX4& zMDx#a&%(RH>{0VN!#sZ+^Zamrj!~Y}Z>sLWde(Mut8RqpU5(B@K z*;4q~avXdUI^`}c7JxeP_U~o1a7!Ta^9mNMe=t^->`Wvj`+%uXDoNZS#{N7TE1Gg<; zxZ9f)&nTNlv3KAxioLf?qPVDM3p#BHygHTQvcdw2OA6M_qaNlLN~uT9+Eo-+KeUD7 z&4;#7>@E71V%5;ESooV)D9(H-j@Hb~q0Q)=t*FpJ@#elJij$tdlj5>pofIF~|5PY0 zQI*-M!y;6Fr<#jZh6}98Hc?zHC+K~4AjOJ8M~hjwtZ?O#2Px(mnz4^i%yYcC`%@G% zi{L)q`3ma6O*Z4EWfZGqlh%xx!LV%dvO5YGmWw3mwhk2YBCGzb0mZyXGi9747jfA+ z7G5+6io6HpwB{`Hs2RxWfcFf;RWhDYbA2qOZP)D-TIaiS@*O^>!{qRpVY}1q$%7*r zIaZU)nQMbn8g`GznMV>ofP)!MH~d&awp?MA&_S*~Xn25p`+@F0+xi}~98`CXWd+S~ z(YLJTo&1o6hhS;xhq|wXZf2X!Zpnq8=p9xEY<$3mD{S4kO%}f|&yfqQ#b@zd(;yu1 z7C(#44%1%chrGZxLkhXNOQ#_#?l-)H(~pJaw63X&^=v)B@knMUm7$oGLZ+n?vR!$A*MuE}He<(nL^^XqWt<-l*~=0S!=8jH>2cEa!2vJQ5V`Kj)# zZR=e$r$rmyp*b)3&l9y`@9O0=JQMgO|7W_rLTiuP0{hK54wFCE>V)kstIg!I<~dDH zzctV9vRQI$z8rRV!3z5n@FvEPl32P^cgprDOR8%7W?BdbWb9QPrb%snhp85dr58Wf zeTfGPthqiogk$nJT|SfD>2RCeeh2hY9=G2G>VR7LtO_`+9HhiDbJh}J&OTE5C? zVAkfCTX#)_wE;`bzrbwcxgQ%GqA~gW@Xv1XI3R5+{90#*cf#7N`OX}8Bjx%>3@K_m zlnT&zWP|>AJ8G})G|zP9>j9c+p2^T>4Tp2!%*N%_v~<0PEj0D22dCGHtBQ3robywx z&x@CK9W%Uo14o4u#|=lx^qcev%FyuNIdtbl85$-op;#FjQeUE2#fOHmyD3(NhW#qK z3#tqa=dJAb1U@tzpGdJXG@N5+vVucHuN{;@85%lWrdSyoV%oBUQG93^){A0gXt*$* zVr6JJeJ{nz(6D+T#mdmYaLCXgM^J_aS)ejB$O$S#16PO-4YI<@&>&|>85-mqD?M#>&v}8x%Rz&EU{*p49?d zKbjSZ;UmKv^&2fZ|HtqPblQA?s2YEXYQwSjna&jRzBCj|2|9hf1`MbWVFSiyxd8Zp z!41v_j1Vl%GwSO#U_ic8iGCN|<>8sF9Qz9RaAG&c@FnP0dErs|(|zX1 zkLh88svo|q6?>1IqyC(q;`->;3??vUtf*jOsv^Oii!=XAsRLMoYo~p zBTH$mSkXx4zhN4|+2II{y1Y+okfPDx*|lOtBbon3X#~|OR3kY0RPc}6XlZecs&01F zin&IdAF)*VE*zD(5vOZN!R?0lRQ_iB(493WG0isYh1pkiRw-4ia_1>ph#YUWzsnTv zJ%NR5zopNjs`;!llW}EAF2$UmV=j;LSG9bDRT5bq#nR~oc!oUJ<92!S-5!(0V}k{T z#qT%yV2cOW8}$5w&tio`3Kg)hw!j9D1#dibN|9fZAD*fG^L2Z=cIi!z45^-*Mk_(( zHU~W-6p5<}UZmkgGCyMJ)B@a-zEKJ}NKzm~p^c-c2^57Qu=i>!8qO6$EM+Xzy)LwJ z+0A~p+Xw3|*mSVxJM&CF=>AQXT$d%+l9!vG<8jin<7$iD4c{vv1GX7DHnP-aA}b#1 zpeM;2bZ^_28mj1*HZ(3cJ@PAElUF~qfmXlF79(oKMZ2@9j+`H{RQ(W~Qfrk5TZQ?~T#LzN&a=YK ziN^<5QQ(M-IVUeC7nZeFzl+@60nXjq&2VMKZKlR+VR6Gy0q66rkqWx3E|Tst>;&bi zzGy_1F`s&ZDp&Q^?X}{n=Z3OynIG{DP|j_(M=IxZSV?sk!%mo;RPANTRVL<8%T#S; z%0=Qp<;N^s=BHSH6M@oAm8RZocsNQ6Hn+Gvc04F@t&(s=r&9MeJ-OVYGTTL0{FNJj zr)pI8I!XGO0FT=Z%@Gpfu_vEEbRg(982Mn6{| z84tqmMY+**E3we6knAmnFR=U?beH>#g16#mioB1A#GB{kQA_bYhGKmuGNuqzs(C`U zJlab;F&5Wqqpop`4el%POGHrn12ILkx|lN-(RBgG)#ENuT-hm?hDTz`e}nWQJz{H7 ztmIg@Vlw866b+82hKj^YF^>cW`$sf4IpB;Rj+qf1hLxF(-7M-_Fh)MHmAaT3iQ}p% zzf-)qWggW%5_?k@OL?h!IHQ^mJvw}YD$nGE17aq)R{(pIwtTxO&+Kv9{f<0eo)!A{ z#(LPJw3uD6M~OpuBl$}-Aw#(pwol2_#`=9-dJLjtQ`M|pbpN4J#s_*#rn}ZfmpydM zip0P#-QR?&(64}K0Z*>gcJw+}YX&G~swv&Zjn+j8^R?xh1ZFP(O)-2XdV z)@(a$<40Ny{KlFZ81PmjD+dp28N zDtwDqZ}s27#?S`*Y$5zf0kb|`AVoHPqY`}20~`)h^;9|FKih4b{kgg(?eB7=qtP^r`YxZs2#RaI0UGLwc!lRW_it;2MDIshXe~s~S(6?I4=qtG*1@kGuBjI*KQiQ-bI z=fG6O(+*T4aF|9Pl^-&pQZ)vL3NwreGyxaN(KL($Q)@;NZTi&C&1FVZ7bCWiu|?%k z;Y;4rz@grSG;`^svsK@;SBC64fJVubk%dOcl2IWosFr|G4~tw-t&~vAM{6oh*GBdn zpn~5)@{D6Doci&RRixMnRpcl+Kgak8DRt?`DdFDnYv~&wT@ZeZ-rlZ(+Axk>aO>gw z7Bq0TQ1CEiD)#704L?I_{Py-}eBmQ|S)*NlNidRa4n4eIoh-~3(<;UqTgC~%Ova8g zI@J^A%$#3PNGyql%~2kM;kUkH@ntOqW%^bz@LCvnwv5I1wDkW;ChlGY1imq5`p^2T zI2AH83Sa!f0)b%cOi&gn_+GD%&#UkGkpfxwhYq-V*LRi%KA30}1`}uPHlhK|>!h#6i zk%a5q@6jST_$Vt9cxI5K&5($G6&$>d?*8@lZ%u37ns`R_Yb?AZ_KcWLfoWJ<21cwo ztqKESYzVe>Fm$MwTGzWbtyy;JLQpFNiXunez7!4=t$3Vm@9NXZ=t6@|Dq5q5W#$dK zKAospyy=o^P(#^N2tMm6o9gWsPltmrHUyT#`VRG|Cj1IDtr~Iz3^qz=uq%&2t;LtJ zU<<{v#70~3Gt^Y@z^4Y^OBD=+MvGCnJX1Z|E8dN!4dwte4wf{u*@GwKHj9J?8n3{E z6<)Lnfu{2dcInvbya(M6%>=x(P057ZDapN*8gdZ~gXuMAo)K2w9w~_WkU}#aS#%tUV4Px`QD>G z7ym0yTm9+U8cu7F#-s<-fP%oo>3D^|ep-ZH`rf17GyWHzvU=km$2hG)nj1iaOdN#7 zsFxmL_rCY2|M~upJZ<&EBM)*~gEUWCgG?NR#7LJ8?0^Mn)U_kza`9eu|KC+-eu39N z;%sErn-{}DPC+jg(g)bW0LG!?e!+R@3PI;`&j)gkrQFd8-3 zwihOdz!OT}IAy}`fNS)yTu`jznE6XMPz-`>Vc>=-6mb_pST2e~jTTAKs4hg#A!yq;XMQ%pndr(;*5R9Eks*hgu z!U4pkoU>ozj_gVCHor< zl#DX7rNu-izF16j;tPZltngw5Y1|c-{T}v76!xM&m;|d-|DSJXt6f(U;kB~Fa$cXV zQQ@0o`{q!PK!I&W0a^b$RCD0MSIW|ExkH5}2=Jw|$b}(l1af?h3fUCNEEx%s`6$}E z!++@_cD5$3mqysLZ46WO>yKi>!KM+TSO~I(0gQv?BEbj(gIP3}#sBh6Y^LR=8o3Wb zEyKW`p=|lXG7}1rjcO@g(7zI$mTA|$-5hqMJzLYFPg2l$OLwG%1I2jA76uecMz7;v zzJblORE&pOh5^NrG&9_iRAp|-=*#;rUBUibja6;qbHuU}W(ru;GOa=S3;l>-edHh{ zMmpO}J3dDD_s0>F1UIn@Vx!p~WcRNnxPSd&bnzv)zx_?@-@R65lHkts%L3_t7$$Mk zpET5KPYv(*(T#zcwFbJm2~XH5fNh4c#K5*0;BDB{`gDUcQNm!@W7JA~Lu8E+cYmu->y_Q#P&$kW0o~cFU!f>>lc_DcZ=^Yg?L9_el`VQ%E*$u% zP_S@V*+Jo8VPfF~L!odm4hob&OcX|F`e2lyW?Dx76ndn6e1V~R7=`~NwZV% z>W1&n>B^$p8>iDhxNpp+e>Af=^`rz&Mrn=3$)8duF__j^oR}h^!RcQx7^Z);%3?5x zVJ)h@YO>ig?QVFou|Y*ZPukz0o=S&;!L+}@2(-UJJ%hoZC+%-!d2-XL-(6w0nxGvD zmLTn7umlxC38H-s{SjxxRNaDl-|VC}gum8QKC?nl?Rlp>D=wYVWgDs}owWNQA(Rf~ zVRRb(k>$;1hIu#o7H!BaVU7H4C#GN9W%!*ZO4IKmwco1H@C7M_2fn<-{NamAoR+`) z#CX`3p%fk_^M9A0kXyktKZ;I}A5P2Pdty4__p(;)X1W3od=*O3mDBP!q!?tam-=1{ovlf_SS|z_vEQL$0g#>Zpxdt={S+lMKq$cq zFI_=&nQz$nKe`(lo8*3w94x_M45_S#QKQTkf`k$#0d>A!*FjHfp4nI-dV(r{Wd)cMv!f80 zb)GLqKv0&n;!(_CeQxpK_0QN3#;hTmS3wF-{az6yGRnaRgA@XIvLtBtTW(;Jhl`#k z?ATuKdvqvm^7!lOb(=ggVN1u)+f6@aXcUiNBw4uKkQq;fAelk>%`xan^Y>wE@J8MG zn@t=2%6Fj#Vpot^G>)8U0V_>t_neM_&Hx!WXBP3y=W2|4&+yib>;?AGwts3nZ~Gl<^wkJkGwpBSGoKG7wC{r;=^dJ4)TQ3vTD-(Qw-7lW=^YF=v_Yb z>V2nF`x}viH^U5P%8V17)*!v&wT5i!13a9LSNPMTSL2!seCjvm{Kk`3FHb(lX${ht zG@ari69*wN>ZeHfy*>5brdN34>P@d*;#5IgjTd;qd^Rwa z(;B3C+8SiyAS6aQ>2ngAe9}zA6F1~1&_BDfr@^o1&YJyS+6y7w`$ruuGWC0d)WXY~ zS@nuN@{3BQHTd0J&O`x^jZBSRI!`5GWKIWDNTWxwh_|i561NZz5s|nU!<=}0LFcDr zVT|Dg6Ox}nzz%Rb!BvCX4Q>~>AHjVAZV$M<;P#Wjv4&P1FvnKd%zja8+u8QX*KNRSw*W*`eMczMXOMn@ubn?MdZ=~*r!`(g8laY$#ua*jeXKL zs@pm0l2-)s5>)f>#Cqk7~8-5qT#Awcw%izml}gAAy8BrGB_z5C@S$dw5Nar z^YCuuzkj;-&u<4NYR>zUgh2yjdm;F2iEOWqIdS12j12*;QeNyQ?*9^h5Wdm(1ovp< zZwa#kM1bETrY#abIUEM?qr^0X$DyYC>U*f`QC@g!0iUz0`?jFQmT*?J=-5LnVFdPO zvVNPsI2jJY*bvlPzrS=}@Dt6BNrzP9xsC`@xQ>I?9tj6wYzU~14;{qY*#DbLgP&+# zNk69g^3WV+LIiEM$OUO1cp@BxvB@CgdA||A=J^!8HMpM4I%Sw{WVg7K+gxW)8A{`i z!wD|>QO~7so;8dg6v|nO;&O2ge(`Gf55~Gck<#q5Se66HkuMG zPN!@XFwulLl6R=_sE|-Fz&GgDTZj0`nPJ9Mk~iF#MT$lkMRInSF@=;OTsOj)PPQTS z15gzsfJnOyym|nYkbg^IzkdCP<&&&w5PiW2W6OkF2KOH@XlOxS=JgJEk!Obk|7DDM z2&t~O89yXn4K+5Enocv`5kppvH$DXA$4acW@;f-n*nObG?#}kWXHf70bD#dXzCpeE z_p`v~l`Qa$rfi4P=?M+6W)JITsV#;zGzNKOym3XHDc?QO*pZwXXKXmEHevNl9u!u= z)#j1L`^d<##>5uZx@-V-xZ|DXY?}k$;4v!10+3P2e748o3bTN$nd zy3E;T`Gpa|0BTFP)eN7RSw7xaLTdUMliCwlSN?*{h zf3mTW(c*$T*JhgstAGk_UV|`D&wj0X!yGnCw$0^nhpNSdTJ4aUGc17Bm0DuZy5b7P zkTU?E3v`F4*=&-rNmGv{+hg;%u1%8c7-DSF){buviBO3p;PPZU;oTybDP|!^z!{#v zQxlDio7t_FY_rQoZ}9U%W0|5UWWkGLvmJI@masgglqJ*#vJovjK-D=| z<7G9RsD^2*6*aF#2anSnmXutOVRn#{w`V)t5oHUN+GR!F(55CQ?4k)zv|+=kEn)a_ zZD>|l!cYgTR!3MjRCnrbsB-YW*`W0k9pQZ)NgQKz|J_osLM8corC_zVf~64J??k8* zI&|6y_JGsu+0MUHI##RM3@@8-{N*AFjSyN+E$UPay2R{Bf2Z)QR@>hzJcz&w52^v) zyZ@&Ym|@h!kauSq)5wNm7~1|o>PMt*o&`f&t9xLOn>P#m#@+*dTal_n>H<>xk#f%l zDt#tU_aZe4sinn0J%!Z2k@^;?_NBE!z!2Vr*D zWYXRr;{cYq#%Yl(#q*4%dJoL4_!|SHzrw5GV$^+V&F+O#K From de7fc24680e73ff13f14f33d29e76ae61ab3936b Mon Sep 17 00:00:00 2001 From: elamre Date: Tue, 25 Apr 2017 21:49:51 +0200 Subject: [PATCH 04/15] added right angle --- docs/bom/current.csv | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/docs/bom/current.csv b/docs/bom/current.csv index 77dd18a..3786cf7 100644 --- a/docs/bom/current.csv +++ b/docs/bom/current.csv @@ -3,8 +3,9 @@ Differential Amp,1827398,1 Opamp,2305612,1 100 Ohm, 2504961RL,1 Opamp,9425845,1 -mmbt3904,1773602,2 - MCP1702T,1331491,1 +mmbt3904,1773602,4 +MCP1702T,1331491,1 1k ohm,9237496,10 5 ohm,1353228,1 19k ohm,2303683,10 +header right angle,9731199,10 \ No newline at end of file From 06716b8b3535e4a55a5bb9b08e5afccbccab65f8 Mon Sep 17 00:00:00 2001 From: elamre Date: Tue, 25 Apr 2017 21:50:08 +0200 Subject: [PATCH 05/15] Finished the first pcb --- pcbs/CurrentSource/currentsource.PcbDoc | Bin 951296 -> 946688 bytes pcbs/CurrentSource/currentsource.SchDoc | Bin 100352 -> 92672 bytes 2 files changed, 0 insertions(+), 0 deletions(-) diff --git a/pcbs/CurrentSource/currentsource.PcbDoc b/pcbs/CurrentSource/currentsource.PcbDoc index ab5f98194007b5a0e96920cb0582bd5cf22daca9..14e481f58c9483c9a7f91e9087c99059158fd929 100644 GIT binary patch delta 97101 zcmdpf33yb+67DP^nKP4wVGsL+%^*yeeE}jf*$8{s1A+!c1Vjjk5?P!?NDhlY z5YZ@#LDZlq22nr}j35wKq9AYuA&N>sgjZdC>dZp8a=l*P`<~zJ+xfeytE;Q4_nw14 zzq9|OyC7HZucc5ZA{7chgv$`NE}NuWRwO*B(lmH3LZM*PD9)i+30U0LT-8q%>v=L& zd-a_|N;Q!DcPyVC(N{TR`RwTW%VTRdU0xk=F4i<95gB;A?dMugD3_;3>LW%&hHV8= zla!*en_v*TWeBmNeu+>^nYr8--A&xuU9fF?PS~l8E&H?+3Mf$B0rB!Fy3cQ(WBJd~ zkKCNPt#<4YRVk!_6Pzqr? z1V;S?;vEp)hVTxAoe6QF%J5QQBVkb035cA-n})KZK7V9Dr~T0uyrx;!hzQ zfp8eYXAq7;xB}r4gbH!w>8Pe{&%mFR5Wa=*9fT7QPD1z|!YK%+AsiFeo{s9|{008} z6~b>2SP9h-Uxv^Qd>{eBRrvc4MuTu2f)dgir7Oh^ZXr%=dM4_F`WAa9i^=SqIjBdU zv?ObxA(x(hyE3%R4PrS5C0I=MA^jZwmK6{Ca3$saT8_^8D>h7 z6U6mrqwWc(o0FU-mm|?(wpqkF=YVc^o9u~pv)RS-TY~6Uo)&JPTZ80JSPOKyIG*1Y zME_>3;4eM>W7L8O@!&5}Lh1CMqiV&9Ed))y*OzM+4i}R~YP6;8@6?QmV}Hh$u6sx` zY^u%Sv^!j`nL{!YGqRG*W{bn^GFwax_a2sHwOMQ~yV;H8VM%Vg!(=sE&Ej3Hghr*_ zGn!K#v)$sfxGgRd7=`^evv=A2h>tpi2VyzLA!YV8#wq^n z5FS@%iw`@6Ihwq7Pzd}d_IC@Z;$-!aIxYJVL9|tx1ATsoWcCiBZafK?#p_6~>BX(_%f`QYBr4 z(aHls^y8g{`;~`-63~{>oxzCEW(Sn1tWG|0c#5DEGrI|qqTVHFCxS7+s9*~#H=d0f z&(4g;?Akjm6|Cn>>W_x)k9Hlv>}lfmgmz)}v~YV`lLj~dLDMi6Xd7m7ur*{hJCg=D z#bqwRv%FPAebHkVJj%M_>~z7W%oLT~h3f|Bby-0JN{u6op|tN9;Z?O*ut(^|=Aqh8 z)~(N`sO6PW_2ZU;n-xG<2H{BvY&>LPd1l=rHi8oQ}H(q@|158A67*Wq=?=_3qVU$dG~k#Ze1kXeb*f%z!RFs;|%!shZ6G-oYt@ z2W5(7Q-lWMi1ET1#xqN7KUg>?-qTm;fo$T^K|*s8%9_|q0) z1haHwaaxwpM-qD=3;3R2B@AO@%yNBgL*WD=RTu{b0DE0;j6(_^3l1rB+IrZSFlB=9 zxH#1*_#=10G}N-aIQ>Ckd^1iYrb9}QApa+tR|!qT_KymMV${RJm^i!1Wlpp>EN12z zFu=NOHW*xOc5&XrLT~Zi$Am^=<{}|l{N-W6DSkU$s8@Q&BSJ%^cw~w&Cf4S5Ie0z? z4D#ZEX^^GaGNFa&%oX~VMobmdO66ox`IvBDoXu^4a$rE^{5Ge>mS{7%ZQ?gM!XUXE zjrjdUp{v;85h%w#Nw6!GcFZ1YbC{))>@Fzj>_Xu=@xnvE_45)TTIRA_t)!31iCld} z{Ys&wn6*f7i;E`%|0bx6QjE!C+QS?mY2${e^ry##UQGK&;?c(hi`Z(CP)|(A7e0YL z&T0Uab>IX&EXiRryTsXf!absHwlJ)TbLPN)g9oIf4er~c|KPM9sYxT!GJ6ai(7WGY zv22l$B>MA(O`5@dy7$XS9@;MP+7)+ z9=-Zz^-UXyJ_}O;Q_E<#n=De>SzI>uSEhH+ptJ#flQQlbHTu3OclQ}J;l8^qcTX92 z|JbRbXSvWBn@DFinG&5YC(9vfXA5a!$5}#s@n6e@x|*RqGE@6^AKaZ6?RiSr5H&c{ z+pFJ@KD;x%`zTt^f~A4aSS@bxv6;d^QFkH+-9;mIStjVjlIen3d}0}hwH1QcjI^Oc zhjh>ACyN~?{!%EoqS6QS?$NXN0CCwWaIB$NE2rIJwk5h9CTdQH+mh%uTW_s?sD>$5 zh|*9c#iHecz4Wn_LVG2ZV1q?QqTTM69N+PGlpq=kh5DuImI)1%%HCL!dNx;x-`m-u z?WVRmvK(yXnI)8=VMJE}3<%?MgfVq(PO^mE?QlWIF^eBh6Z$ac#?IE2wO&1Oey*@U zROSfH#J-OUb;Y&|ggLP`yOpYFv6#7+qk+)NnZK1@oG18|v0!p}5U@*(Ait5AoD0pk zY!TFK^BkcGbsnc9Ozb_p-}HO}7)Q?*8WW?7#5zoN2QNP$wtl|QptPBfakG&RR#y3i ztA(lJFB1fRt$ShA8YEs`E%d833I1$}BVg#@CQex+EEO&1qUwn~pB56sm$LuxQn^fH zw!?bWVzG-I&qwtXtJVpc=$V-?I`rt9nwAAiNd0=DnG(jD)Yu;ji-eQ0p|vUfxk#9z z3{RZ$Obv-YZwyW>TFs_|(kYvSX-d(3T4)5b0jv(UZWFpjXxLv*off(pl`i-*J{qSJ zE&l%-=gzC{I8mM+5VjRO2USF#0^zKvpS({Z%5P?msJVv3X6rQ z%H!h0#loamUi2ZQq{7d|Lab6Oe;EedEw6%ewmB+HE!BS}q$x|w55elBbjqi~UCPpa zp9rm0%FbfJ=-8H`;Z>M!XC4#|tKAAc>o%FCnI8+gmFhUfLt_7v!u_S^KN4yy)fPn$ zaajq>sOvuzzE_(R9T*xTKDbZVSGuGG>=6aVSC|!RczWzhLd(+fQ-VXO)+-D=t==i& zozlK1g%L`1q+%(im9{z|bW|#%gLFCdt#G*XlI<{W8uk`61!eOo2rWnj* zju+G363&;--YyJPma0l&!B_g~>q4fobmD75s%T+;#MQ3L& zxI2Ul2t6S5gwP8@ZwNym^o7t5LMDX%5C%XP2w@O}!4T3R428gC&90>o?^SCjcYFjA zra{Ps@F;}o5N1G_31Jq5#~}D1uuS5pcG}FFwGK8b^XPz)w>FrDMjlsVo*pW(yo0ty zgBtxwydI%#!%hvwosrsP{kqFdZ!wI{tDFIPM( zXwz<9*Y>f2JlWqiyrn#?tsSu(R@U|F$M!s;8q=$3#Jnl(6g7nucRd9hy|M0VWHTci z-a!krjMO&JpV=Dqe$J{3eV#4P8M^S17Qf3a5L!ZE-TT%5`x00^YSN#j{r7cX(*M>H z%A&RHW7XIWiq+Rt`ZmV@z|WYk@VsS1FWh=w*}r*Se}l^ZD6dw$rjtfnzRD@=bs85# z;@vuJ8 zt;_U|M4LVG;&D~>8vQNXtbHuc$JTGRoDbTlEvn1&{+4*{*7H^+L0&c|DpBA5(~tcp z6BC;TdB)6>-^m$U6vk=Cia6!58C{Q(3wD>Q%DcU;7PnfoH4myZzp{~n=UHm}>d*7Y z<*-^@ziw*qCeQPyb25(lEECUY-u&_{X2cTNnns5u`ZvC%8ZpVI7T34ewiR16)u!qV z&6?)yKD0J{_HC{QqBd#eo(iQ#!&yD>XX562so-S7_nz7t$~*qIcZ-}|M~VlCC~YK9^28mR+uIE z%93S%<+UZZn3-(Kd^3>$!f$JDF+UCwE1OC~Oh7{Im7D$(2_HAnwv<-J{*5oo`Uji4 z=E|3KhUR4s!HZ^@$#Q?58I`~04k?-xzs1~R9^9BEb4N7&| z+K1HQu9mQj?$ZLiZCyNg+tU{-r|r4W!}IRtdrrOMx!f&igoYY4MH@&GbTL5^nm7IT z6379VuLd+&ab(V|X@K2}4N_9xAyMi;-ajiFJ6cfHLGfG8EEml8IzA)~xut%D_&H2y~A-@D=8Y>8<0W`jZ?&+L&fq{B>H^Nhb)Rr+&k zEZ(~gDooanj^$?#^4Y_bWbNb1Jn`3L?FE^XJt-NFB~r9-L2>~uNX)RqYvK^Eq-w{< zaw6QUa;7P1+Q*|8z*YcH%^(&>y&BUJZeZeDwP8C1>Bx+)V4gaDSXJxv0d=(R6vkqC z4{eJkj4hOJ>^GmO@)y0U7L^4sQ^lKOT7baT$~%{Pg>K9Jv9(0CC#FlGDcawJH5FgN zW>2laB`k}pO3iA~lc8;;CsZ{1OO@#Zo0Li@`-PLisc)QCnF(X(j2P?~1n?sDGLMkb3_U28_j!R7Rc9WWG9($`IoX zq*6{f)ie;~_TG0~_4=__)GR*x{99@fQ$I^MAg3~FXey(I@-ie#@DByKQ}r*R1QsK& zoXXI-SKbavWr&p$no1IgD(2h+a-$Z%uNqNns(SIReHimpLM--1O;_{Ohc1*N4~uV1 zz1;fkAPG?wJ5|><%u-*j*o86NGD2x@d)lkFy-}!UvHR?5b!h5_Wj z97ydxXd_Apq~7(lMcsYa}{gNW)1mF->KVc zD(B!uY8J0p1gpa^8cSoerX60mfyUA%=kC3M#?m&%FRYRF-dANZjq!w+$I`y};w|K< ziAMTz8lSCy8+c9C?Cc|I7VpgSOENia(}f5%qy74hfN^lXXNT!Ajm3d{d?;V`OkMSr zGi%f=&N5Kl1GK3<7a@)DaG9LeZ`^ei(O6s)jq#it_~H#TmUb;7QXNkFE;1U^m@eTo z(od#k-VH5&q|fK7r^o!R(zQ5=v52V*wb^?nES2$6DvLQUrII8ub1cXma>q%PziXVD z?Y=#o8mM_{VZ$#~o+}zPqq11y6)IHzsAx4&LsN$U6*t4OT$Yo`WqBmI61uT8rUU5` zkjpe;r7>zCm6gIu3(^t=7?DQU_Y0Xy2sH3 zQ&}9E%FvCx6Gx~c7%!6_kjqjTZyT0sAr@rV)z+sf>rEhVoL~s5X4imrmndn^ml==OM-#;sncS z_h-L`X)MMvf@rr5+=?_7*F+r>%Qq0HV@O)I~n({Fk(}83M+bnM_FYl#}AKjoQqcI&AEnJtkI_yPVSRAek z$*L*eQ~lq;d@K&n*ZqUtXfqav+bn8(8PZr>6OFYI%NO2$jGyVw;&2+{XSAB~kzL3J z+-Ce1rf6%XI{UOyU3u|tjDu+`t?1o0>bu3qF%G9yeta9!SR70veinz*vY+jPX{_9u zXskR&V{x#|FW$;j543hr7ruKp#=$hk-zBRB)`7*rG?vdeEFSZ*IGD!jNBNiyf@vg^ z#Wm6Hycmmm`X3K1kI7^-7KhWAE`5HfgSxOdygbUs;$Rxf_t3$nn2*K5G-l7T;SEq1 z7KhUmW8;v<;$RwU8^+J#a2nH{rQM81`NGR%wqkXb>yBI7N~2oMwzP&Wk?O#9)ew0_ z#WtCY8rW=BR{w@uTcYxfDW$R)Z=GbhEGLs2*zgj%u{5Rw=@O93G-9O%Hr-STiv#)= zoxO-Wp{bR-f52KWYM>TutIRYasH|B zf6lMS10;4;w5-{uYGM%sB>%O8cn>CDqyf{*-j>X z{8>z8RL;v%*&{omiUkuvLe=wHbTmD-u41V~<-BywOH`gpIoSgX zlz={DmdI;!RS^cqNuepgjKYEW}4@LW<-rC+NKBTf#-9kc5{vSY4S^FmtAwSb}n~-LvV*8AxI*GD%lEE&?gU5kz@jsW!zw zs`f}6p_J{AHzkD&;x2@C;~f`bmu!Dj}pM`~d_z$Y#c2 zHy&k?T`u;4dgvfOtiaS)jvP>B+t;btl{ntYVro_O zPD~|gK!Tz1vudJ-rjmTL*)!k2#Ru{%{`vqD%TK+gDtF|niHM1QiGzlI-WqQ|1pS6x zTd~_G7UP*3o8?Fdi?b&`#hYl9Y8#F&A&E4)5RpqR8xN8ThHb~E6znNR?>A>levKqb zVsZA{@J0abDw9}};rK3G->EQepQdWgyaVZ zm|C!VH)=zYf{MIW=NqgTyHCTrv4A%80)kkAa`k`X04hil*iRk0wHVXs)*N^5ZdPF?p@FvGhzSvGYf&?R(x*;awQxRMr=B=4z9W@9;crU2*MV zZH&ZRK1_>8h_YC5grCK!j_3EBS)!_1vml_n`1s>eDc{W1Hj&;P@0hk#HTeDNpi<)GQpC%%waq2IqKBf? zP43nWk2QkQ>*Kn^g3(Y;7IUKUZr+r#M)kZK+{A)&KfBpcY)Q26%4c z4#6JVss@BO1Am}heDcS@T`em?^w^>U*+nR#acqD!tRPK*Go&DAu-EbTyVq9DRn33u zdXQBj0#<>J&@z_unfyw1=5+YguIvM~WWL}sGD0fDPXK+px~QHj{4S`BNI9>FWpKWd z-l8g`46*GB?mrIV6WCaeU`r*EF3_BXNy8bv9{d?966gUjGo z;ko+z)Mo~K9H@n;S}A#VV8Gz4ichral6~!iiqXl%i1UiHjm3itw2_*uxDr*qJy`2# znJs}k2)qAR!*9ld)!}cw%WZAd*=^yiH#La({c1^_&C9_#_(R0pxtmpIo-Pb1t&Ek6 z;7-K(Jg*;7W&iw6kTm$^ZorGg_m+W3{@jp>%y|9HfJo7k9?ZJ_DdJdfOz`cEd|S5?@^pRp}RPRmKPSXNjN!KMok%X@P2N>C=G>%2qO8 zJ8n1?7*1&qHXF}c@UIG0foFbjMV|}OJ|?(dI!fVJQMK8R>+dam79M$oKhk&>9(gQe zKQM_L2D8w&F+MlYT@}J9x*v${uhl9lNI#4>L4a7 zd0wl{`HepAiNru=CIQq8asg5^kpk3?q#(63Re=0}DoB1p7V!HdPb3qOqmc>85v!BS zQDdTOHvbDTIpX$%%DKUwUezEDF)3jBr2}G8&~vUUVjtDOw7e%KkRzUXau8y&K=w<+ z5tD^XZ;s&nk|PqGOicNy31s<_BT5SR6x4>KA+<5pfP8>zNRCJrAV(w%p_6IYcnBk6 z$GaDJfAf!wT&3sTn_)<=YG1X~`=fHl$2MOaM(tr>p%Eg`m9v>8{X_6Eo#5C88mCePCSW8VAfesPa(IF zWC^(qB}-5nlO@OpNGSOT6-qutN`aH1kCDwoZ{>Fn3}%<(F(^MRr~=M%)} zy^yZCxC=2krfK9Gh{-W?9(@@xI_8z9fAp?@0r9@KuA&d*%sq%o5YD}OFXC!n-`}~@ zWbVR*s^m+E{YmH1ll`6AAl9_YMy!b|T*4GpG#SC^LIGmamf?u=Pv!@({%GyFyALg9 z{H}UKkY4u6Cy0yZ_DAfi{CXcIXwLS-gxo`AAvpi`zuA46R5-oqid`oJuPeG1A@v3@QxkifBt3lLL*nte|oCWQ!-!o*J%B6(Ef z$_W2FZ;(Y0v;JS1Fq@ln^6yxHV#QU&{<87dB>uF;h&7+&AkK9!;QYT#LtK0ykJCr5 zs}XB9%|UwcAM24`v}y)o*P>_Vdzt^0?VXAVad&M-29rAnv47k)#0BdoA};9ieh98k z|2TxdBJMC^k~jAGV~D9hjrViJq!7c{|Eqg%#R4cpcGjDSNucNUGQ?D1`S$li6v`Q} z2Qev3m?}j4SYxO#$pee<@t+FRNG2qO2xql<84DvdLrewN-2_%b9feOilq!3|w@R3X& zj)}imYBG}NlA6><1s2~oDbH(bRCl-XA>P#(245)tEsOW)R@nD1a^Bon4{ahZ5e+h>$LGgu;7qDv!;`}r75a+)BBF_-B7;$lr z7ZB?&KUE{v58i(}9564wc9bM#o?EFjhF+Y@#30r^U3I4;geq#*wqL&tZvn0>E z|L%bopZE*~W(}B$0uvgY4#8Os%-lk$OOfu6N=2NXxg4>kVNb+aZ*zqeUHS*GZ*$j! zwlRFXujsi3GfZA12EY zrkc?hKsBf4B+F29VtK6o*hfhT(u@j~Y9cEkVd~dZa}r9Hp+d=Wq!d|}l=hM7F@b%W z3I5BUw**JSs$)lR?UuW3RtVM?Ka4o@j?a*8Iywai?(U1qmpSFlESo>?G1jVEKjPh^fG;=AR=bh4Sluide3Irz`~P?b{`OpHzc; zw_*YbEc)yv#8jZ7%Vxx+5Mf%353d?4CVK%MN9&-f7*bU z3REoIh?o>2Og=;WV^4aw`s0FJPD=;(q5@N{`a?MU|TKv3K61=kj(ug62frYX0wtPd*x< z_|k$(B7fJ^Hi(%FmS;X6qJ5YN(&YZmgc5##$CuZ?03l2$%aAbtcf_Q~eZS*wr|P*( zp69WZHDdk!O}QcxKVsgjK1J?^D4eVPFLqe$T`>NK>!*Li+8}NX1v322qY?fg^|_Ge zn&o-BCKy66D6HAk5b2pQO;KJ>Nejefk2OS``FLBzl`qvsT&cf(u~))!}(8^n(aqSLQ59!K}?0}f8kqoQVQ|=$Bz40C0M0cd0WIMjXulDv$z7s7(xV-luhJA|E%Xj0^ zdBz=mksN2- zURmFVI4AF$8gbdFd$~ZxZRkVcnDU>A;tNs2#R}hf%uvzvGF}uHD*#8{TX*7wwdlPS z+fE|g^W-YTiWbtiu!UbDcslc$uQ+ZV(u+2Jg#4AOx%Zds*oW9}7#Bh}JU1M%$0rHM zO6U_>z>{9Yq(Ipg7Z+gu83h{RtepQP1&(GW6TdUcZpTMAq9;5Hqa`#Eo3hj3*=l}$NA6j*)R9{Gl&azPeOXe1J80S`9wH2tYTO3 z(ErG(c!GrAZGXMNo|hJSH)}rp>O{pn@8C<0);TXO^tL-T=J3a`H2)%DRmZ%&3%!>H zb=3~qiB4Iv;Q%i%>vD})Kd3$SnykI2k&c-4e@e)@Z6Y!hzt#|IP+Wg4?}oIN55v@L zX+02*vEN^5Hfx=kJ0Xn&GS?uv8=F!sY1k0 zHAZ>gJMJBJoL|3`5A*S%l}uPZEEJFJdjJcNS4Uwu>w))>uDBeFO-5MrSrp>@>t#sy zf1pI{zxX!CXZE5G_PfJwPJ4p ze8&!qySi_l_sFnznP(LR-oog;(~S3Gn|`M5iwl$rZ4M5}gcG`KL;TVM9g$8rVFJH9 z$hkjp0p9=QtTbYc$KH86W;nCpHpDA0@O%HN(S{IQ(78EZ+3t!#{&1Z2f{w2brR8=w zu3F2_6!frM$NnFj!1`&yyVn-6kuIgp?0gpQzK@@HmI*WR*@$=L+`q655d(jm?gBZ#ZF9!6aK>p{c`>4y+2K848%3M5=HV@?Qv z<&c?(eIzg|;}J}#SkE=|kGT_Z_LgcCm^*wZ;;h5h5f`5yywK}Cs%UjGhFg$fm@Vsf z*5_l~D--$sPxJF-|I4=_&Mi2>6F@Y4wuDG2GkQRxVWm31HC748~8d83; zfLs7A!6XI9f>|@7@@WZ%{T~xRk*u9{c@u2zi3(sFL%QEv9D;Mlyn>i~Lh&uQJ$j5DWoSfT8vmT_y@!#ZI|OepTjpHC9jbX$WNa{qM! z>4YnPxy1P^o<@4vo@le0ZBnat`K3; zm@-g}NFdgDT3z*mZg1v$@AM6vFl+5X@5Sl+r={=6XYu;)3Q=Lx3+u7Kth5=3v#vdk z*cXzpDs9;v!{1xzRhrIcGlp@SQ!*IdpY-lnhFjK}lFo4c*E^oo=jF3_Q0^WS=&ve7 zfu=0UB3D-<-ah6lUf|fL5tl4H5sZBbeecmY)E1|M(}1&uW5vOKNY8zBJJK~TWFYqM zd>gTUbu!|F@p}+goOgv_#RM~AY9hkCiJ<>wAL@h*WYY4K4v5L*Rg>EwrY6ZB(<}t% zKBWuc*Dp{bCV8gPt5{?5iK2vR#HbMK|B9u*VFEc7VRAakKweG)$!V!T@^Vs$Feyy@ zR3Ro0`#;r~3M7lj3P~oUZb>F2?0?aNHKu-@`$>1i)bADF^g*1p_A6|n;srwq^ZB3J zyowG8C7&Q%?3us?Ix4Uq6RsY99C7YT4w#J>-`TelSceQ*>m=NO--6^z8LHiNaKYdwff@T?nfri3d2G$OB36goD5NkT)P~J#TFKn~w=$LRkhDGc4T$;o$*0 z|Bu%!_8y1R@iWr>0K>T}8XyMY{sUF02%QxWrn3aY{XYX8>`#XcCBOcL8R+z)VDBHi zCerCe^`TmDVE~d@q1&YMdsZl`2|Mp+=lj7J(lHOjbU#2c=|G~yClyL37F?^K3kX&V zRx4H@2*O$fHwiQG38)D(J!=vcGrVzNEyPSYa%9>KG0bEDug^~=W|H9;^YAxx;$ zhA5O2q5A^5JEpUNFf89S(|tjsBR;MP6hQBFa9{~`FgEZ6J6PmA-Yn!`42L_IG+5Ca zAsVdY%CS7?Up~pfNC+vy%=&3=s96~fHY-h1WLAbHv&vRwd4jDPj9I#mWePSc!}Wjy z|Iwk8eEcq*1BT$m8JkPj}EZstEqpaKcgg#%d#@pxu4_;2TQ9AwJ%8*mUSO5u+eD-+fuuK3_C zr1RYn8-Gm$F+tu?_U?(8wo^r~ry{29R>^^6&QBXkiB2cx9w|R<=VbY`pOh59{U9r! zG^7n9)j-}*x)xx8NcX(&%Qz1Y&C0s?OOM-mjjH+UsDvZG<$GHRBfsqkbcoG@w4$!$ zFX^&R@J&g{ebZ20=Ki<&`Y-dW^qx~<$baxoWGL&+_jM)5`XN?~dx=k-7t;|Z946^*$;Q){9n!up%-O85|Y2-yRm%zCl}CXBr=c!o^gY?07=23Lwylb4N89K zjaV<$kmwa7m!krdzvSXNu8<@@=h*XzE57rMNtI68TU(FT2S%LB@=Jdgsuwu+i#QtOacLDiR`SvTG6^41aGkXjam5e=V$Z7ih>JcmA}&(F5gz1M^y`YaqzSip z_J=(Y`-mZ-dsc`5#n<;DR!9Yul}$iQ3SWR9ZJ*%r{FVzsnuy`xCUQ4KEGzJ=mUmNXqB137tN`}^_E%A` z+(zCjh{*y)5}hpMkp#$1Bo#m=u8roG82VSj1F;%vR$O%S|NFt zFrIfC!kRQVkOtG|PfkI)-@y-@{r7c4oYjku4_VWBlN86F#BPmP-M91V&wZQoynk%{ z;J){GjkEWZAOnr%nOj~(O!v1$r@5f46XXX4Xs%H7euneY(c2q^i0SApTN=u7GD?Ju zto=&{@;6y*JZ8c&Kj)XyG+yW5`7O?h@^JjY5ybLv{4M_-h&&wk;M@;GcUTBGQDzGX)9x*9ISRpA) z{8S;52Q|igR9=NoGKs8_WWtK!8e}9BB5sjpup;)!GhV8lXP_BRVjw5Vkpz&F$puJjf(l6ia#B(domkqsQ6>Ge{CVD0 z&8}Sk@OQrc!-V_xUW0`BxPxgw4wXT(9{E7y560kVn%oDFPG-)T^AKV(bLL>a1|&0A zo_H(|w{EP^r!JsxVVSJ|k#q0_c!1|wQ#tMbPWX*#yq+=$Cspce~_ z!$WGCA9FWivP9*WF^I`hC08aPW|qY9|4jT0t^_NTG-HLs@{H)?!zc|l zCI9*m>GF(Ni~s(E2CK|TJ9vKDpya*D`M>l@I~0k5M#Cye01cON0W>2@3ea$ykO0@R z!80PmP?6#(D={{8c^>1Ed3>jp&}k#$1m867y;-|AaK-`Ldl4_mIyL8737S2p-&&-T z7Z>f|I~>^o?^(q2OTA#_?mWcQOI)V;h^rds@n)3hKDiww0dhM^1<36vDImAwBgH6? zoUdf!Ylw5d%)$b;k0}eGS1sO;nDUp!V-xxmR6yK}uaJQh*w^@5#H3)t%iIU321KVC zQhs?H-~}kiLZkp$P}5{@o_C2aU}8*wHp?#@hy^R6-~zOM(7(t^mz~Zgpc%j51+y%edC9|??E3CqVIdqM}+A69xmx4LiB|cqSF^tC_jB- zMV2ppV}=yqpJ;*kpERUzxKIt~8!uEt`UVVHK>kLI^qD34h74JdJ~BgJ$RRp?K?n2W z`d|8p5EVe5;2{O*6FsCLeZz-7GDF|^p^wbaH-N|j^o=005Pd_4SrFTw7|6sVfSN!q zK>ElGDL|iSAqA<8sRHB!^bH~M5wZaIkPn|klZnvDBuAw7B}XLf$q}h`< zN|5zPNp4-RKh=WFPmW0KOID!vBP&t+lA=T>MJYciD$AD~5fxzVFKa4&<%l$;bn*kL zAqgVER1g(RijZQYC@D^KRxxaU5QEEC;6q=T| zKWRz~q$vrYCZM*J6_vhXBr7U?<%krebW)V^ksQtDru;KXR1g)6iiq$jxT*2%->q*7 z|KR)__}<%G2=gG!hmZ#$AHtJj{8eph<>RGkSGC)ek?`-FE0mGqwN2Vb#Hq8i{>TN8 zFgsDa@*I5Cl)ewgV{yv!@K63N1`m+#Q50glTGy^6Ne=^ zY-Taus4Elob9HsPWv!i!GfQRPQvOR~2^%)fLR`FqPo3cy`J=muTZ^L`D8Co$)X^=D zNVK}G;x3)8o(4WSnCNslZDRa9okP_3(G3=>5_ETnhI2YY8&k41IXxvc+35D#(v7wh zOPVp+ZgCi`-V{@^+u}$uo6<#dp{{$d=5*MtY2t>(G4-P?R+HK7uwhN(v8E=c!x3Irhuf0qHrvgj_gC%4 zNK&DZu5o{-DbWN552|cMpMyBV=S=>B-{eZzzvVJweKvn56^@ZVdI@h>o2Z-{v#5#9 zmgsVs(UJNMNgLqp-8&<#NB4|DNnte~RZC|W;Iwo!o6Qg$5ZoQjCOgD7h|L|{_Kq%V zN2jx+%f$X*(8P&y24G@&T^()kKSxKKyCe8i|4zF5MNji+Lz`r~J=N-PIgOT-RF~0a zv73$VR9mvq?68=VtyY^k+365R_1D#lvRiC6x7#WH^j&lZZc($-Y6-Wf%WX<@10%EO zhA63?V*F)oTn#2QJ;6r4OzD55blZIpD|NqXURW* z;fa~86kpj7t>;Qkce_&^X~uM$Bh_eg*{w#G+iEsit*%tN-Ibo~GTX7|M?zECp;fkC zi|(MdxvZi`t!to`My_YXgU?5|hvjtHDt>Tv=0iSq5spjXV^>K;6CB&ZG4lT%iaDJt zYS*Bb=T3fTN_r6{Ju<7d>oIl<@M z>FI8xE7fgHNp{-PtY$9`AdwcA%VKw-w>Px8O!ja`4<7WpWlTtVLziH11yUAn`y=q^~i& zw)Vg|Odg{oERRWfV~`$>`4|;EpD+5~&TU7Is*ii4ME#1{V%q>V>YJN8IScuBTME=#VWeVcDYNTOvIia|{39>AS4rc8B?f zA?rWtyF+=sX^yItu-sRrdWU1)cmJ=C+ROwlSc``bUpE=GYua}kX7Ev29n%k6UaX7<=6T8J_OSHR9;wY2unOY`1>$urL!}j~;;sd6* zm-x>(S1!C-BUZHk75C`Gk2pFFD=f~~6a6O#4KP9V<@0jO1#qsCnx39wNq4!8sTTM@ z*fqI~Uc1w5Oog>aTCyY6>9VKr&4SHoGCS;I|41Edjp5KD5uOLuSYMaRid*A9J8V#F z?T8i3`h+;&bMm61=>@*qeE(h7tWVRSn z+~72Jv&oeThGFLn8oSMDHrw6&=%%IJ2`67BSnGv#7xW&dOI-GjZsVUV;kS?Ij>c0g z=fZ^z zcolCyshh&9*v6Kc49gm)!{~Ln;SAoHZa2a~oXME(a@kF0b`p~85-Y#g)sJ-9U2sk< z{`8Tq1DxDgY~r#L2Kf< zX0p%n!7&^ck8X&o3*tu{-H|KUt6j`uW$WY=t3BQ1Hd-C&4x=s2lwx$dQmimwm>d@P z*9cQ>X{r3q#SOk-vYK!qU9)y(r>N{0^9hV?E8mA-mBSF{alDWEjU5BOIH>_=?tdNW z#Ewslxw zw!yY7J`9hh!B#~Hi}^2eC7k`)9>iI%&p~=PMt-mzY6opQLCJ5`{?ffngv|-7UUrTj zfttZQ{c*Rl6Z}2-%9j_RCWOcK+l#oQFaHQyI7a@zQ8SB^o#Y28=Gn9iO+tzhCPgwk zbCZQ*u9#c=zcH%}gU3Y{kMxr9i7@{X8BI@#I#Mu-wY}{YVeT$Pczd z6?vg3=Yq4a5uv{A)1v*m^3L_$W0cG zxn{SE8%Dq=EVPVXsBDw$b)?vxDR51n;0y) zZlGN_$~Cn)%;7hncBjh>OIMeuTns(p%uhd|iY4*1&M# zd!&bBaH1r4upeF+zNxxSUF_`za0M*e6! zxA20aF$Kyt@Sea8=Oj*JirE6Qu+5obOipvA8Pl9jd$KppWpXDw#X60m8`N^U+;*5N z;KZm=O)dbJvEqY`qK|eP8=a2IRo-62r#T5%)&7xBYct+OV}@ho2V;6i!9)VhU!ZJl zhw}(CJdrUv%r-d0a(KPQWQ)~ngjY9~CZ+cMNZI zhskMAbi;q5xj?tSTgfQ?g|N7C0Y_@nXZ&YO;kdHH8T1O`M-2Z0B~w&+q;$i#G2^8r z&0o{ErQHfn3eI?gzGkx(PTjsJnw)dgpUaO|@w<{7a?x0nQQSHn`S^_e+u@r742u5~yzB)~T$V3H?( z#Ne*z5#X+{TjkHG(o^m3blAID-QXKGv(;iuPIkeer#&S(In@N0?OycR`jN0(g>@tz z`!%&&t?+yUwtzRN3@fz7x@Sbg1#Js)5nk!JjA<^LEj8WZvYN$RAICI|w1ZE(;5-1HRZ%P4q!FBW zKsP{Tc8NJp;O7NP3eTgVs}$E!`I00a-+&Wqb2{-eEH^|c_|Xt$cq5=QSxjkelQqp| zOiMMv5a~^I7~N@UDMoLa!)i@Qu_xQoTznF@I9*mJ4ASfj9O_|pCt4lOn^go`LHuTZ zOeZioS(sX&d@1}4inWB)B804GhnB^jO&S}br31@$nenJsP@?s+}zZg@@ub0IsQG1y$pn;f>9y$O5{#-WYk z@%=hWx5`ocr%Tx_xZe=o*O2>F=5YS2rErY=(Y>%1rO$r=y&}*Dl3b~2>27d(xOHHC z0G3e6Ua!NLl4gRvtt;JYO7qT=-n67-q^0y4IJi%e-3416I5l_R+edOKd-&)tbqEJ* zih<0fe%ci?2FzZPA-U9B@Q)QhE66Stj;Bg4Mf?m$PvEUl7h9wB`i|fp(X2H*G)zgg zT2hP_C!Cbo+%6M%liLnk^kj!O-E6aZ9b)YJx`wsjog-|`*kd))|7M;P=n@~kuY00f z$!`9eo~jp%x%Tf4<{cy)&%KY+iJ#%q!?jPg=-6M zIy|sTgH5|7#R;>$Ejit8Nx7i~!13)io6TVry**+&G_%>=ZdksW!mHpg*{os|ArXh zA|HHv2Ar=-=RT!IE(TBGdjZ)<(v4%DE>WIS?ARb^l#bDVK|HNhv@9TD@J-Q&#ra0`lU^Dw-U@&ALGGdI79&0BK6mNh@s3jJL6 zmN2}M@xu>B>g*4Hxi6lLMZM z!>NVM1-k&aZ?6w8!{PM?U+=WAo8Y9y;s}4$Z+E#sYqw}H>JE1+Ys|0C_8nECPY^bI z*A}s2U@D$FhhyZA?t=P?9fri5VA?L>PXYguw()kDKoeb#8{THyoovE|J%PCFPB?nr zH<^F)S5x*To)8c&d2Iz^&E|%va5zT(zgJ<_`VPkpzqtuhSo8a2REQKNObYJXbytYO zgt@{Vv~VfRc{Bs@WemKo1S9#!ikpAY-OjNRvR32nQUpsR2N+I*x)xd zscH5b7m6-3bT*qq{OJK*M+0@f8)g{TFv5c(*q^{-1+jTmv=J`A4F~wwc1*XujZR#C zZ5H}OWmYL-#YFxCX~HGar^AV#aM2|Gc{t*)N{YZRD2PeJVyXau0 z%x2ZUWu?`vsR`n_f>qi3agpDegI$O&ioD6_k>M#&LGaQr6Qeh z!A|}ay#$HBXy83qO_E2rybu3k7V#5Cc`!||TJuHn-?6BOhXWWp``KIg>m~;r86>*k z#RMP0@{gaxS{cT4!&(up=-81@5vuJ-568&=4{FU0Kx@!?B>%jvtaWStu~?g}BBBC?fHiX|TH-Zocp)rIe5Uv5S1;ouET!PSj z#iP-A&AsqfGK3TesSwg2q(flb)napHbW344!2S>hh-mDkN9wgf)3|BJ#Alv-c2M;&(J?Jcfw)BvGm5X@aAe^v=_Z?Z^ zFpT`sZnfC|`{?@8Qxi7z{gtOC@RPzDuHbBN!L;?An1N#DpqQD-@a_9~{JTX7d-w@- z(dQL-7!r;vp3mlUpu~?jx}&5gzrViwhnV=k`0S)cO`Udp*5MhesE1{Hj`DBut-Oot z7>-TeUC2lLi2uhrvI}GWG=@qo6DOh;q-8iR@5n7l{D`9;7Bd<|za^G5iP`^GpEEXv zcRV#-uh`Ah=IwM3iiSEddRW_)^en@MR&>qg>wLn~`HR|;*SL`tCwFoB&+%9-8IS19 zG4T^7dBlIHJI_z@7>6L_(_6AVr68^_k7RxmtH(J0!@ghhn+ zkDm`Nsms5xs94Rv7ikjVBUf-17>@ldp5od|{D}X@%Ht*3zfrzhNgMtRDOuSU_@|x1 zv8=qr&z1jMJnbeIc{O`lxMvV1&)LJjP$zp<#M7akCVf~+LHvZt(}m>P@tsj)~N!zy@#S5*05Ke1%7mj*+xX&?vpe5Dz^VO z31wlkAu1&(Vi3eoHg4a$fSOGs^gsfHB1T07EQB5@?n+A#&>)(Nq8LOpiY3^YbgjI^iXqCC!(bVsXOu z2upIRE!E<4q`4HY(`QXl(r_2gRdqd1o7WYxr@))&bSUBPfr5Jq;9b0Wu2rlQ1pG)YP4c*1P5s*Mi9Y*K^aR>F!jagM}QUZL6e2#CiHzElQOV*ubH ziPuN!u@ZF&yb{iXY7kMJ7L!+8Qg2+uqw4s?=uzQkIvq`no))oS!T9?A=qI3nagp#3 z{HXzJba_N8b%mu~iVG%D2AiQ9{2KuuM124o3`iS{gCUZP!&5P9sjoSrn>K*Mq$n_h z64Viab+tezJQ+n`JuT1yPxaN?Ao)FTMWIY?ho@-x;Mt=#gBAWW7|iey++aR25(!Ql zXmKuhiiM9z=_NU?&|i&t&KcPJ#zO7-*Ob-yXFr z3HHIIl-BQJrgOtiS5J!?dthMXf)=%|HR6K~e9^{Ji(-&W2P9cDe}X8E&n^7sfpR(- ziBEpKZ}`WA`P{>@K_3$4a}mE8w30BNn>e_zj4+?8m>pe8m=9ibUb|St%Yz^N3r{^x z0eo^}`OmWm^U02@9Fs%>dGg~z%l*3e{2Oz1>GQ^B33^$;!aF(>W(LmQ+)2dm_!NJ$ z={M}|X{P{|;F~RN2(yHPFI-QUH4wOPEn(Ks+M`zsdU4rrMGlKq^L$)(Zz<$6I zvY)U9*pXO6>}ae(c0@kbkmLD0MM_WhmnVC20eo;GGr$KbGJ|}eB0C}MpB@ zoD3U&_$zCF`)uEdz-@J&iWT)6F@#sQsea6NDB^>!oJBzl;YC+JMwo7lM!M;<34aw8 zMd__20m7>`842gq9}gJxzc9&60Y!7~A%X)YD3F;r*+<7jg(~*-5)Bk$y!vKJ!+BU| z*mQ;Dm54p!%tS>!aT^FRdDZ#U1^y!~C;>?Y8J1yz$URCanOM~zZdRx!2r~_6;+rPo zq9)E`;knK|{;E zp8H+wiILh=?)il zO(=%Ew*D$^`DT;yHRZzQpyg-Z6Xy|@_=QvOJ>~2)d`~$$6JwZj+K^2snP409 z)#mI2oG1GTm5KGAort+&Cu6S3Cs-<}3C-rRP|YVoF{gnt*rc3it~9xy3uUKZO|a82 z*X&fGn$S#yg+gJP2_+NK#@Z$C>;s%9_y}A(58QE~>_p5II~j9L1+r8yIKw~d!S86* zqw0caCo1wb6K2OW9uteG?A+Gd-lcfqn4tf>2gU8b4%~d0gbLi$im98>8NCTteYc*l@nA2)`CH0$xUlU49ew^h(kC<69*(oKnQaa_?@!kXDu-$z(8+fCyA z1)I%pEFMM#n%yMU>@*)POM!yJG1KRsv{O81STS7u41x=+F20fCnW4ft;xZ@6u-}mX zo>*FC31VNbqf21jeSsW@Gc4%=m-PTcT%h6YQ-qlz##~dFo@)~4C#o*mUlz!9ml@)^ z%N#RiiI|=x=C*(}!UYLQS&QOsy^pLx*oEj0?>_xLt>1t2PDGfXS>e z>j}#|{e}|%8VcY-4L^yU1k4m;jcZvTVW|mCvLR0hNu?$NVVJ8hYp&=yzv!P?GObQa z$=RN)8MY@ENL7@XV8*ymDTu~|#9XaOaIF8FDXWGHWz{iLtXh_WRZm!fjNq?u=A+aa zR`1wGL$Um~#4k7*$Ci$#_{>|rp?JS_`vVlfL#>j|V(7#}F~jS_DV_(Hj4NOMkTNjD z{X|2raLn|%5ACOT&adLg9>TIbzoGitZz+H|D!lS*!pw1gQn?Ptx>o7vt#_>;tO@YV ztAx2gL&xQWnIXnvFbeuFkqLOT${F%#HsSm`Gkq{Co$P&>Bqofy-Vjf?X2eP=!1zK_ zp^*t65Dq+fmB3GknQ&m@e$hHUUo9BAw`wl6|AEg>Qb6eiap!%kylOfeYd3f|e4b1a zj)(J&-!gqT&goZ62r&Hum4}$>e`Pk!#SNu15{Q6sh{S9&)(G2_HO4-`<9o&lS*p;0 z^r3JJ^kV$aPRALtQ*wdqwLIZqujUB~dp+aoqGHkz(^uU2EMd+s_qQd4eBZLYay6Z`M$T%*25zafp=01Qyz7SFljXD=$jSBe-_?jWrcS zz|}9I^%uHK7pmg^QZBUS+!~5!rU=*G_RWPj5CWyb0yCpe5|*0K1lzxKp6|nBMk8th z1>e6E?4O^RL;=7Z+mBVpm?dL-vgB+})(ra(6$tr}Ln0GcC^NPXn1CR`xF0wV4d6uZ z&60DbtQjtpHOEY`YKdtSN~)f)ykv&&Odp=xd7f|PgTEH|KbS!3q=4&Zohd-P|G`>@ zU3TJ<5_C#!MHn-|M6*N_O=%#A+Y23D`@yaYJ0TCu6X_FXogvJO+!}e@R{Hx&yDYo9CN7@|O!gpCDlfw}b)_fB#^uK);3}j~AO2 z_&O!ThhQ;qG%jmQ1exY*D8sz6=7h^1YD_qD+6{!OUTr|QDz@!BS{=ng-~1(5D4Oq- z@Ni-RaQxw~DW1{*PHHBuyA^pt`(HLsywT@EV~>luIx|Ih?T$Tulmex~0zs{WS)JG< zqnTc8Jr5-=WHTZzm~|ClWG?U6n@BU+_HT%Hd+SFJA{8>O=$=hD_0;XOa-MNZ zPl1o!R^)s6t?t90e0`>`{NPU&&0{EjVa76If|ul!O~r&^$xf{QS7sIJ2x7NSBFr;k zC2164o+;-K9!8j_(v|DP5*tso4}2$nfSD(!;v7#?b^qW4wMt-tYuuF0$r=7FV$JT6 zv*HbieI()hhiV90TMZ>#dgV#N%7wu?9B93j`gEo*jQC2-cw~NtWAaA^k=GK#HItT6 zeC!o130M0cSV93tcUuaGk17!a(r)3nFlHgeC(L<*=)>{wv?5*la9mh>kS@JHJRtDy zC-nQGniEfo0_MLjes2`@G-1Q^w+R=W+CVsO?hL|l#tOp20|COZmK}r_HuMwDEBO9W z*l#HR=`N9>;YM&44HSWlR*ALn?!o?K)AD>c|-wIwTMd$O6i*wGn0pa^H3n zW(G5#Q3QSb2r7`{SwKuL=Kpas1xH)}U!X1-U=`-{hs{+XDkhBW#SR0Ru<)SRjFo@3 zF5$o)v8&HuiXj|(atdi6@7Q8Ne_(=G6wJTyJOvc38ASvc_ll#wilk43)!%xMBCc%9*~tC*3XcUYX--y<^zcuV84tGvTTB1)tCHoy_i3Kij{W zys~V`M!`VNsY~M6>^4+waz6i=;=^&yjSoD!G-fYOG~ifYJ1`7q4Yw%X4ffvnd& zK%jbmy4lplHsOTa4sh?aYS|(xfP1%uCMsd>{R*c(NtnB6#@vH4y`Q^S&XBv{g%h8r z463ou#!g|nSj%A?+xG*CXZrlyHH1089Qzl9xxfSEn+R(R4Xi-y*ZS*15uo+goPZ^! z41aXrHDHf;{ZcVN{`y2}FdPr>xseLgMn_>d=iW~t9_QbuV#sD90G8vvsY|%E_4=6y=ouuuH_gfaU}<=*##K7{3S08Bb4 z1LFiS!>xHksN68}ZBgKAvDvgRU38~4dp{<6gYujx@USoeb?F(;*dA!Gmg2dK*EGUo z0?-K6|5zEoDlGfWMj0~B8PSYz!VfzsL&7gUQ}$R&-^Z{UtzQ>j<3YFDY41wv9l1N_ zm38j#dFh?U0}$`01i7ERNd@rIyE0f*OI~`fxmBo~m);j{|BC2&>Ai6ECc@m`b3Ba) z{5v*|IJc(Wf$xihVQd2j#1xYSiM8U*V;z7X2n*~|JVG!i2@SRw}__p zYv`SzK*p7mrw0>2cvZhCggL{Uj0uD*BXZ4lB1k1Y;CL2R|z$bq1 z&NzSA8x+qz;WvzbhXTlH4!?9N%eJ!62j7z~+(sE1y8J}(2~Fk^Hun96aM=wD2v?Si zTTx59KCQ#XTNe?||JxCwXIypsB;nG^XD}Yd|DTHua+T{5Mxoyz%7>!hzM|r1ikl$>RNA!boxPM&)6J2pAjgvk_(!F=i9x zZn=r#S)~;z?Fh5Vt4FsY%qA%u)=Y=31VkQ5#|i7E6kO5_5s95se|KL9V8(LB{^TD@i0V z*X#Vvoe6WjH+FuTX*qgRq5*Pi@mEy~$h*h(2 zoHs)JN{iF$upb5vt_m3QjoLPBL6>i5IiW0_e0we@`p zkQjufMDWe;2RKt+kl;dj35J>CB^Y8Fg~9?MAHty~K@I!&fh4GUv#FeK7E zmuExh$(RY!vWhVc(xOSw1fiJoz&xc1DNo6Ww*IfZEat@lewi$>_OhAZ7c|)>7=Z$+ z*}<@(33V{Uq5f58rZ5ZpSDl&A|A#x6Y^``t5VuyEbeKt~gCP!A>(}{uts)N9DtA&< zesPKx^_#YCZM4aFFanS`npG5+ih{&*QrTYl$P^1M8ccwWZxmHtHqR7jw>BRPrT z$617H3S5M1DyIqDr;Wf%ClIbqzkzVxlX-;WceNy(pEp8>dC@8Frf7=S;eoa8@K5lF z^T85E!~TBYylT=J8qrXKWoH(5S$#5yAzoW4Efw#8!Z8<6VG}<}2*>=sL23xr|L~2( zNK_j9t)4{Fv5MFw)QXj)h~0}-3C|I(TsMT`c{XIQW)apVl-;`$=Gj!qJE??ux>dF@ zSGzD;5EX`prPLzf?f*1@gyK>cmezn95wtrPHLe2&si?E&6U08V*nQ1Xq1a7iSr;bE=l)roM~)qcXc8@lS^6FTSU(i^_Ji?9I;faK-n z_Yr0Wa{G@F>5EFm`cLMq<3s|Ja5^T)ow-hzK|<74!4Mbl)c1s$f$}Lo6J`c;uR0{? zr2v^{4ijbpAznzt8sH2x70Civg~ULJigVuGAQwn?s6e=*_77NaSyKg0&(~TMk zL(U{&lF}CYsa_L~>e=DxZFwQO!ra;9%C?+3qeQHi&>LonEm>M~{H=H?&CPY;puN^8+Vbkg}c5N0||-aE4CA^bnFz`I4=(H$XF)M%P1Qqw$lvXDmt>*?Hh>R zu>LcmXI%aJJA|3O@E2;f;rG8X!_qj>u5*ELj|g*U3{{s<2Km#Y#ka&&k2WOC^yRr? z4-w~=dE`2ZmjxQC3&mktf+4U!2QbH+fFRXI&6Bqb7$SPW z*i9yirw$LwH&k|ON&#%6vc0Vc8@R_?XCcfsjved}8OSc#km?fj+~di3_MtqPo_$Qq zAI1bU|7Ql+OPNFRV&3Z|)gG7{DDXYi?DT~VXUNWk@BaKeVVsn-89~gDR=2G)>M#gv z%)gi7rI!7Myy;^pfYqGYSBwE!%~kszDxe7@7W#xZoP`R7%-A;=v+qzEh-cs8JlXlU z%-HRI;jPRSd28DaC;cb|N`(c&H({Q|q6491#|Ibq7AX;iFF+G0IpTZv)g}yu2TIaN zF!m3|ELePp&f@(KOJ26VTc~ObMNju3%nn+!Y@pBt7W$-Q3=4(AG{!?Qgi{*$X305E z){LJEWz`W^kU&!{N`X>gfe=nope8&6Kk79xslfNl&QWELygkcji9Shq>oH@IbKWdp zx1K}tHr`X<`(jx#VMwyRE@1esxncq36*uBe@&3o^6+gc#Zy-jmhK(-~LG|R`lpv?| z8-!P7i_xob9sIlwnP>}4Bs&Xyzjyp{6*2)qr9%@b4bcG3ySb$sFxM{|Cfe!h&EoXP51x{!0{lU*#E^Da24bNL>&z>z$!Fe{ds{eM8$-G(Zb>^ zD%en0Eb9cOPbX}=>Wuh)d^UYoK6F;lt@Mjy!YClLk3M>V2((G*C^HS0v@W`KQ^Hyo z{kIl`+38{fHxg#2Gz@Pe=(&rQ@wtBK)p;@l_WCj|K+fAMB?DR)Eg7V0l>nl{ADx8Ieh*HpP<9Xd*BH>WPd*2gOA|h^F83p2<^xUc|x#tWYz#Am>;2DCF` z3jNJ#b&C&?VHNTrGkk~;$MX?7oBzn@If{qYow#W=1)j8D;ZwKAdl%e`ga)$OSVatU~6LIp=tmj2UIgxd7G- zGr*c-23fOyjnf5Pb*5nZGN)`iW|VEqjB-3P%ITR=Hm%0!ubr^Geg=)x zkAFLX$H1v$ywTH=N+4FgS1_ctgKI&p;wHW>sW(r)tjnw$;{|`=r{+6tcwl}x-p|^= zxZF`4x%+S1qv*^y1Vi86EWmirSv}B^Ay6Y;GG809N?lP3SBKJJ&hu))?XM2?6O-vG zqs?kfgll@8YKxgBw;J2SBrnVo7osLR;O5FyxJT6IOozLK>}i%{m)&h~_~7zfuic$u zv!>IHqIGQw-1982;=Imfw>c9py6eWRSZ#?mI0aUP`^}#hEbN^V-LxsQ02&Z_ZF%^r zsCB7z#0hx_9`4defLTLY>Vb|70ZFUYI~8qGKPZKN;5M9jMvKkr#oIR2hz6#sB0TDf zD@>j0!d-nIMbaLw-v7Qw5ECB24%i^ z)M14i4V-k*B3ug$;=-i?;TJ8s-EgUi*9JG3_%pxGo3~dEd2qpd&*-5;v&}9_hs+2`^lz6+F8eE+?B|o_jT1Bcmuba*B!tF(8*|L*oqZ+q~x-Zz=<5eLd9yWmC~xbqwCQA}0fI!>3(;!SlXTWoH- zE!p94!acQac^xNQx#snH=wd;kVw=Zt(fu%r*P7@BLR9gRx>8QH#i{yn7wI_t>!wl5 zmYx@CMR0#FMR*nJSO;`u2>w6N@yheJnx{voZ+saY-|DjNyN_}z4mByt)F{d=+OFr- zO)o{aF)CyCM&5i%wp|FKmdUoOIBB0A=*SR=+FS+|d1d`1^Ta>Kp2zcKgNwKuey}pg z9RiKJ`ptLffyN!D5!?;wV>YcE(%C#ya(9_sfO64oW>Sr^3%J*^BiIEX(7FJZZJ!=! zT>z&EQDgMu(SJydwcwImyXuQFH4HOz{)4B3ibS9(a(nt&JizHw1Et#A$gm89K4dF zcCcIj^`DCG!`pwF;st=W$h++?Ybe*k3Bx_GxpL7xW&iSEZbtp(k(zJ_G#&gr>3u!W zkp%~HdsauAB5JFnKZ{oXv4_L(r31>{=MN3%NMslsUUXZ*zdoF6_ETA;=D-Lv*S@Rp zZ9UMDU2-@VV)y?m!#Ps1$790*u)M|Go|-t|X7|6*cD{6|EK+L}5om2E>R1PKWS1Py z{a@6v+wMtpyOfLE_}|cRL7(!VjuB{TO*yni4>TQf8quf!)pZwzcDXOQVe8-Mqt13M z57u1-THQsNbwI1ToaTR|%|<0CF6Tu{3jcDOJ#^Ij!8RL#)@FacXM-MSZ8oP7{q)D` z?S0^O;(xEBin-k!-_n`}vp}0I-D0(QycTDAI!wa7X+F4F71kS4>?zKaWYt=1YE;K* zv)dGpy7xl;cJW|xD_r^G32#tbcEt&oi(Bai)!J5C_PF$#!^*jtQPUeGirL}V`M2vq z{IcdE2FY{)(*#{z9RZU8b@FG?|76Vpda9nHbH;n*TBW9^r`XdKuO-zE|G+#-vG`mb zn~6ISZ!{X+PjXaT?-eiU%>US7qy}!w4yj@Qv8qC9pa1A zq7Hf!glwNq?V<-SsI5c{lIZ}ZA*mzl)>3o(m>Wj?k91AGp{9aX$?0CW@!DgxxD+?5 z(`vI@QoP_iE}KL|E*jE`{PLIvzg6sFbPBFE;&gFr{Ft~d)e6T|b^(gB6k4#Jd z@fw4AFe570F7c!MSivHFI{r6SZvKcqVPIG zlIZ}Fk=PM`Z3Eom?>4|Fw8x4ILjPpZ7hBe)WwM#Y23QA@k{Sq0Bbg2$8H${self{p zT4}o4oELGqw>36_7Bzgf=W^Jgv4}NqHdfV~h_n{T%8j6UrA@YvbxucG*Et2KvP4B` zAiiUrs2~}DU`rz5gQlx$ zkx+2y;tpfginEc`9oq>u@}?Q0bRZCYlN1WbbO6czG_^au|3K{}@BSQAI|5CgRcn3; zs=egku^`Y{hxJJ>PmnCAX~;kWT+W}ac9bq$?IoiQ1=Vg%`b`fsO(U5Ou-dOx|3trl zt2r-XFxT>Zkf-W%d-Xu$3CVPT85Gq~h3fdpyOHK^BHEvT_iK1>aT~Z7s}+3kI^;7D zb{0P8Fb+N!;A4O|b@V~g8<$;)QJk=eWv~+Gj;joM69UbfN*>-7bfDaq_XmN_>DW!k zn~*H%K#+k3xE$e4xOk`zydNs@y-(rgdiZwja&8Z90`Ho_Cv+|a-Z1r^ZrWr#UeF}! z%k>jPjlJ)q=6dktSJOlclIZ}Z5q#oGyWsPW+@W|$CT$+NL(#Y(ze&{kS^0vMjbAm_ zgOTq^_K{2nFwK9QNyUj5DQ+ev7sfmsWKsuC?2?*9CUpSGLM(nSwB2a&rz2Wj)?0xl zPLDg>+-|#FntS}D-H{EKtq@Ein7eb80Lv#-=z)$5L5L=aNpV>;-T8P~FMnz|Jur&K z*8;VOL0b>=mvukf8TrcBTY~%{ z(D>_mPlX<6{BfEPBY%6OK38j;z+SGUVX7C_Xaz0ZZOCgudm_;6+33#q^g#0gP7|U@ z;#63gIK50uJH6qW%xLyTP+tf%ef`q&H9gRD%xV67{$RyE#1qNXaWAY4GKfH9aKh;K z^gv^f(+CD1*$XZB^1;x8*SjuyPDHC@pF73nNr7zwDIS*vHa~bRiej}}($gKuX%3g- zbHb&uILCwuBdnjp>=73#u5r3;;V+C_9>oSjWVo|@9ysOAx2%rbUNK74V+5_A8z;cp zF`w#zjtl{D8u6OCWH4OU`+;I^9wAo4sajti!|_gFFVPK)4q?s-Q)?yBVe<;EZ=1a; za_Dy1ULt5{oGQ59|Ivqfpd&-@AKWWVip}n{IGvspOLCeg&64KvxRQNoiq)I! z!Mk!B)%Gf07j!h>4^1xR4~pHT-rpqp8{@oB)<+JmEzOVM{1U0vu}4TxA{;ZL;{nKl^R(Kkdzbyy;-K5J(iJ(yDaSrLO|I)G^ev!yCB8?kNW zlD+1Ua*T9&JYVN>fY*X|Uc|G_=72>&wW!$iq1aiq_6kqbzQZpH=EkMi^@s$pDJg3{N;1u8}PMgDSNlsQ^G1irmoSX_<-RwSc;)Zn` zcALWmTYF$7@*0=J0q??XuJGkoaFLJ;zHj^19BceAsZG>d?-mR0hJ0t&gN=nRi5Mi) z0Zb$Gy<4qX4LjND;R4ybl}TI8#)w*uM4L-((c9Fll`TbqHDagLlB{?guxRXo&1*Jj zp&d%98#WhtJWgi{ZCR`1f$c>h7gocS@fTmm9=xy`6+h*1v(4BwzB=-iH#UolT@h?) zyhVWP-#erSIx+-8fbWF>CR*WB*Kaj_flI*&YDpv0HA0g4<4xDLv{_Tqy~$3C&65Hh zj5pnC@!8Yd7Ms)Kfh9^M#h1*R(cEsEL+-tcht3%#afQF7my&qY`Ed1i@pN+wwWy;x z#%MMF9$9v$lm@}lyI7i-8a>dFArNlVD<7J{U*Hw3>iX0)Z{>(WbG@KFu5Dp;B*W&p zREx)E$8OT)w!n@;#ge8tovG<|#bHxF`O4h5jte|oanWWk5W$WjgjWKVfR+vWMA{TJ z?-BECb7{((o!tGG`GW%lHy8srYWMgw|f+a2O4m!7a)nlo9J+R{+=jg4E6h2=9`Sy zgSs^hpYDB75B{-Lgc#omx2mtX)ivsK8%)ot@w3f+YS|JUE|uKM?z5-6T#CixQQWWr zFBx__+U=GlFKqRJ?((-UP!a{*%I&XI%d}M8^QFmZ?DW-v z$gkLo5PZx2)%D9C^*~34K)6(gDbl4@zO)W%Nw7}btfZ!;d!Z7*%Mq*-Fz8D5`P`P2 zG^@|+QPO?ZG~ZPDLOCTPEu~vl-yS!+6qrT9%D$Tx`PsW%;a!7tFE`pG3%%>NPt3!N zjW?W&9I_+CyL4djVd-5+rUOVO%<`i!%avr7l@~q;tsc!bCB*{mExcp#r8~fd(%rDH z(*xrIXL7pBo^p{XpeneWPN&fne>U5~hJ?0nHvp1V8#=Doj z6}hy<9MLg!ymEaIJPmInBaX}!F$g}oP4*v1c1^pNMGR)3fjX5df@BD^47eO2HEkj8 zhGRU~LcC9{YG)d#<{qy<;CiRm>T)=J9!qLk8Vvto^bRlUoQlQjw5LIv>`Jw(OMWpo zG6qYSvXIyj^Ox_#{_t2 z?`A#FkscM~2|PAOKc@i!E?$jdXhy;ETbsLZART zpd&*-0>Dn%E7rj5^G9|53#K2`qNCAN1%|YOQH4!`@rA{vq^H4ZPYN{mu)Dxwb*H-1 zU~$B$z=Q%8dK%X8IADv47%w$7o9#wAx@6^UI}A@RuO7Nrl}#$7cSFFuKT+R4|NmNux5V*nwy}ybwEcJbQ2oN{F!Y3 zJ$;9=jhfT=L7;Jp+SUObS&(fScRq5)1TH?#JWs7Y6#WDJi0EctdWy>jOKKLI1J_<1 zPFQKPI@2tvO1jnSgdZ5CrnxR2dnz`lk50Gx+r6gt@mxPI>YZR-3VW(x3Iv-p)N8Aw zEyfoH#76xzL%RFUj~hfaJ1E~#l^;4Eseuz&=OZ;T1ji5gMXf-x)djMiV+I<)tTi$& zN4Q$_w@|g)ehP!`&K*rK=*FJ-PZ@N(tnjNeyVo1uG(okusb81FYs5jFu8R6K>lMNL z%+2Q`HPAZpT;!v(N<|EUElnN`l8x&sN8*@)2HvmNC`uzkpk=`2gw!n*Sd6#-j4?Y0C` zTZ!NonV-C0F0~@_I-ny%@ZVtmBF)cuWnqx{K`C-+5SiBj9T|eZo_Uw|BFzu2H$TXH z$LD2jK<0HoM~2{%%>ThTX1vP=qYDo#?u0KNL(7*4i{bc#;tJ!DQ4OQcJ|P_(!3}4n z>n}E4qX#-N1b;2t@S9pa+q;iR*G9H$50XxgZ0mrI48dQ_cDS}b>?55T+5Yi8>F&t3 z4(P}b{H1JzbBAgBnHAEVk?nPH($SG^9ng^>5NwMrFF(RBH^r70?7%K(%S&UYa?xu% zC%l_l@sT-8t;#k}F}|AlL*%-+8nKS$yk?gkoN4&0h(R(Pz%+tavF!zU6>rCH|Ha&* zWpawc1>*^e!wt(?&NOR^#jB(^EMAAzZBJEPsm?TbU4}1sy|CfP>afZedY9tX;}Y9S z%(*{At{-?p@UzvlOAlHnNEwh!2QbZF!zsRoZV!7Mk%gKqhk~5yz|*y*PLWIpkc>Eu zOs=JNX%zjQT6VSh%gf!$bq#11Uj=x>TQrxA9iX-8el`9Ic(K&4US(vUZnS8P5hUFt zHwPCt-W3_>6enYD*&hVO-F^-s0}#vr$dXPQMHXFwI{f0IgL2 zBLRwQ9SZW?dRw&~XgnjC4rl`WNq#1l)c+MfL!Z&yMCU-;bAAbNt-!G$*go$>(9aMA zGXOHpwJ-w>a5;a0nP6WqE>`>lKf|AJYkoGYi6Ke{G|M2F4*bbx5{&*!&4EtKI{i0Z zbSlI$pGHP$ZA0UV+EH4ofj~3Ub|BL%gBfUm%ONwV)akua^@sO)Vy72AHH8UU$jfv( z7UuXvJ0lmx%E85bZ|~KEyBo^Q3rMB|m_{&6Js&arM>c$3l3UpSL|w^(Q9C0SZjy_m z8`tdBgANgreI(NXO!FUSQt`?SpHTYnesVyGOzOaAEu|)rNgY5k!Q|!x{L)gn9=G_? zrYn20Vs*m1JWHA{HO1nDy%m<^R9mXW=SXuYUZ>BRqNL%TpsVV7oHnm3WIKX4(dkga zUls+oBfv|1)qKF5*ryk~@t*S2w~_DudN}fyeHD=p&bY#mG2m?N9YaPYt$#7BP#U=H|MVwa0A2yGQiM=)zPDk1jA->h4ht1Q}Bydi;pF$Z|dG=xzxg-2Ht6 ze7A)i*%-3Xt&OBH#v zHx}6qGJIJbpB>Y)K8+-h%dtB0_*7K0IwmV-nyCyjlZQ~LEo|oI3t}6zRFitel&eL@ z>Nipw^@|yu1SA!|teb`hMUu zrS`}YLESN^bH5(laU1YF780j9!KgAjXu; zK3#fr$?noGZ9$;^xabuSG&u(TfxqJXnC=l1NMAxvTOy>sj%LS9t9=kiYN@B5t5;Aw zAm&D+FDIpI|Mc{(f}7LTkSR(4ju9(_g7Gz{vUlHIjumtNUwiw8j1@&Pf|8~Y?@ zr}pmIEnNg%z@T(rdY_!soGcM^%RmU~-J^G}bYEI`5i}Ko)J210nyViTjA@|`#;3FJ zWK79U&rIu?IzW(h7z8OhXJ!w`>XDfvf*ypRy2(8=yY?8+S^Z=@=wuZVrFZMqrF*yT znM4!`n=rrb9}{m(@1NeYf7kRr80s-*_v;4|_9hk@s}ml;;`*j_>CwG^ZzO1}z5_w3 ze_YJ9>ahoaza)IJkAkNNd>V~UpN@s6GJN`M3_KmdrviLR%!8-t7<+$SOiMLwY)mut zRSc_*VF%&KnBF}lIlX&tXx_#^VeLjkVZTp+$PuG~>|J~+#ixn*^fNvw_|$p~Je?X3 zPj}lM|F&dt3 z!>2j;^b9_A$ERKRWX7kf$HUX6Ja`&_Pmkf#LVU`^ryclo1wJ*N08d*+!Bby+T7XYa z;8Qj}ZN(?`0eHG{B0S~5Q>4p7)=`@hfaw(&zHVl}{+$Q-`l~A}_0rYAL}(?-UG>_k znK##~r6x{^>7xc7gvO_PKC~-g&O9a`TC-pA#JY$>>hF_cvTEmpXHP-DZ>A^R5`oo% z|5gU3K>JcW74+0R5OZhoq?mCLzfm?v)$tF-^pEggs_KlH45j^!WVKH~PSe#>565(? f_Ygd0!)My1k{o>qYTGG@6}4?;Ab{mUhw=XaJ}pID delta 96684 zcmd442YggT_dk4Z5|Z5AO;{lGwxK8j3EPVh*iDnp(yO{EC{jZe5#0q96chs}*MbtT zi(mjH*cUr07_dG98blEb7(gs2@;`HC&fVRcfIR->d0+gDH{Y2vXU>^9)6UG@8~NH7 zx$WZ8Ip!PdNYZHtkyJ_g6~e}4Q{`o4%JQW2hL5I55~N6J5FkZT0k7QLGHF0kX7y#$ z8}@!~x10>oQjZmLQ~Jv{t(b4hQd@bI<|}?n`7ty2Za>=2SW9$)cN2)?0M4o(v%gLNbID2z4N&R-CMtHtM6Mf1N&k`d(1!J_z?i zcmTq45LT&^52iKG+5vw)0^uD`DVM* z?dC}~o-}5ya%EhsJbVA$F@4079Z>694a4HA{EV!q}`sPUe-8WsaSU` zEj3epwPkwNb8n9JZpwt2&XxjjeZ zIecEP$KgWQcVwQ;<8ZiLb}y!m%yZZrHoMc|QJ1z+8dpp^lz!0fwYwb-kJDz&wc@{d zeFqHa6Bv>^GS6-@p-IH zS1bgziJ6>cw`^@X z1!&b{US)y$^Gqc&W*rzxVK(dkk~B0}G^n6&VDR9);y~ZQMf3Vu^LpCm^|R&mw9o5j z&+F-!*UypH(>br7Gq0y>UO!h}Pxrij?z~?dDUHcO4qdEflK-g>p4ITdz7haQL%FQ$|B`cd51dR zqg<=rSEyX0UQwXzly~xo-}9C6^4sk1$*MhHxl~T$dVd*E2B>WV${P8$xQMbIO5ZTZ zYQqxkSiA#e;evr&Af-ERAPDKn8wh`UnF4^gB9PO{6|>p6o;Eu?nPyNSj4`hfP>@v* zD9Gvx6l4`=^RikTthOysT&n6<+Q@a{L{qyftL0nbBAVnWJ^%k9tFb%C`W~<2e%+KS zw{%rT)88*%tc+G89wnk?6)1)2!+Q4%77Z^Rqz0Z=E|$&g(PM=_Jw+|-smzl* zsoQ!gr`7BzM7CBk)h?rz%!;(Dl}D48*KeU_^;g<8Sq5gf9Ks3+cSB%f9t$f*)bF6q z?5|i?RHda@HbBZV5XvEJgs=(1W(XSfNA>r*2(V{0Bp!uuERN^|{QXND{vG~4sqPz~ zG*{P5P)1kCS1NZTs}F{h!|G2XlpEAWmnwbKONS_m8VM;aF-~@=@E}S;UQ5Fk1B*+$+Ct zQ63D#Me_;<^c`?el}9ME)xV}F{W$jt(}DW~W0dPE{+ysJN#=i^9HOKqtC{_j@#^>q zkPgDmQ|k{=rm3aZ!v8`eL0Iz;C8eTXP`NcZ7Fl8Ftvr#eX7^Uy$UQyKe@Nfph@g;i z&q(Ev+IqF}(#n}ip)v{T1*-6LQyhu-0J2;5`*9$dJ`)C#nPo~O^%WRM9`B^iouy1L z^F$)rEvs{$R(h)bsM0`<+@Lhfv^%U;Hi5wC=x|xp^*1O()R(VUTB)t)DS2wko0Y7J zsq++*v`qFrN`d;yO-h4` zj&qedu+*8YT-^jn+_^rVi?Y}~)?B+A2KU_SARnr5?{!Kyb<8}-_w98`Bd$UNo7-W} zb@{v^pUvwNMcv>tHdb%ENl8=pT(1mNufIoGq?XKqrffM|S)u;Cm`UiJI-;~zKVJd`esrDEpgD|0`Z~Cz--v?2J%{wkF9H4q}bJC4}xO$o0XmF>Qzdvn!8fDIW7mZb&VV^ zKL9zdTcp%azoclyus|>$EB34O!hS`A`}Z9%2c}Iyijd)2b5Y>sx*}K#%1$@y74(<#?@j4X#M5wOzYwy1N#pQiY|rb z)GN>@zi`m-;#$oK>kyd6o74NHz^&sQz-_?Lf+4|yL;7jPnZ~s8rVYs-a!GHPL?VNs z18FL1m1)(fNP-$s#foW5m5XFHe2rXLrp%PDS~1HIt}_+}u`cTGWy*j$)8Wt7IF=<2 zQ|k0J%3TfT4I2ULU8^1Kkgt7Rp18GdEXl^#vtsS*vhx*j{My&6a)Ax4eFby2 z_Jvqj`$pB_N74p$_t-jnyq(?N&OTRXkGr$e+u7-x7ldK0SO3DI5qZ{my)NlLU{KMp zUIY3DhV&ZHpB8HUi-rIzHPFGZd*x%w*O`fmRUCUvnJ()ir$13k|J{$f73B?r>tb@>HY8j=c}it`3GMA{=GAY3|80tqBL5$0ov*_b=C%DY9=ptw=Bv( zwn531D~5lfJT6zf`H}LFT+#eP+?)Mv_pWEk`+Dqn)3u42K9$^bdJi8KSbRZM?DG0PRl zE0jh_$rnp*UWBDW`LN>dXO*@|$(^MUJZ|-7;Em-lz^PMqDa+O1 z$1td)K6&NJ%!=I~D68aTgA`&pC#!pRD!){0cvpEPxnjz8rcj%Al)iFumb5~hwoAFD z;`m!i7dhF)3J0iGys7L?PL=NBiEZ9cIx>!nfV)VwF>q(Dv z{%gU+52pLKK9+p4!~V%EcN-(iS9SN*l+WIrB5KPq;#Z}^3TsNL>|<-R7A-K7j`Fr0d!(KxzLwN&8w~C16eapoJBY#bn~rGF{c)=IuHNqs>!G_PM*%M*QK?BC>pB3S*^(TWJA8PBl@o`)52<~%BB z(JSK=EPs>0NRuI?s2NFy#+kpvSZD-jf{;;1wI>;R_hUPaY`}RC+Cpdtp*@5PAY2Hc z1B8npI3RR_&>2Dwgj@(+AXp*TAlMULG zq89g0?KOYGG0*6y8Xr~58W{rh*;Enh4Xi)?O)hf=gxSbsei30dE%k7Wg)Tql)3)a~zbVOgvj#Bxjt!kEdT0iJX1$MT`M zpFLmqhA`}>K}mezziTG=PjYNHw6;^t7HI3*M*R38oM$XG9Mm$lQs<`_`cwql8CoX6 zE@@duL$mtHPy}BhmJF_zN(WAYIoxV!uTJqAENW#FLp$@0dw;y;VfS~1i!U8=(Y(c5 z4sn|*&jXucGYijL`tz^Q zYS6cxy1o-sY3-^;F4hWUb#xASu<_XZ78CRDa{0{$%*957SgrhBE-*~3EU`NKGg)rg zIt0fKZqO>jZpcKYYsk(%0?#zSOer-N`9gFRAeb#$}x_>hH5+cYwj6d3`n> z$7OzQh{(Jz_l)IYX0#q=06g=1&a8728Z%=%vf7Qye2yh|S9dfwq-8RrKYiN2e77|J z6-o4|hkE@@pGt*Hv5b)p;5mS$R6F%-8QU?FV|ucl4AaT|4du#-4P^D`MbO2*$Tbw2 zm)dWeaA3_xyqN8I$&$GR7Mw&&n$2oU+CS8D?ip=+md! zM6qGvTx2q@m8-CJ~q=qH9>mFc$UH6@aNNPt|v0va+PX-MWGI`=|SrAPhXjpK; z64?2n!v~&BcfL%lT>7XKT-a1*PxFR0vg#jZXk)H?eWPT)zL9L+^(>~wVk_Ng7;7#x z5G~6Ih;hKNh;tCgyy^+$#5oXROIgNW@D&_bD&rrcWr)cV7Yh=x(wJm%rNzk`i=|RX z8@73FV;+6-Q|XPirzH05_Ih1#*Rt659d{tA8OvxXHAYJu*i)?@1sv*I4f60G>dEZc z>&Gma$3FXh1NrqNqs*SYJWv|ae(-LF7Ryp&vHL!1j#HX=1R!(g^Nd}@x z$Om#VSxDa6(pY|0ZhRWWkxcPvj8|k|E7ZM4KBn_?4N*=;laLQ|h&)lB>i{(IX{;QU z#&lrM_%x~yrn{ae$w@Q``B)o4IV5jwX)M3KJc=Wk;`6aI|JBJdOJmRYG%ROED%Q)H z(in~Z0Y2W4Em3)A(w*u|pO0i<`D&CW;;_y@rg%9ijy?4}Nj{PZ^QqBcFwT^|(*diB z?T580<4M66+ha`=Nn9>UVo$B{Vhox61gmW^`x6XBROuU=D2l{E2^(=w0vq+(l@7;I51%lyWvv`*Fg4;UhFYD`acSSY(Mcv6&Nr5i zr7 zDK$pR_%YfT2WIgsmeIyaV=}PPNEX3C#Iih$KaV9urN(H>7F~r}#L=>{FCT?6u+*4j zWrK!u$sm=-GJY(UNyeTrTGBYifn?BW%xV(&F)d=Ws1uU`w21K~M5Xf5SS*egAPaj6 z85qBoeUL-VSjIn81eZlaW$T9C#uT2?mW$7tjD3dCYqse-RSEH=i0$|h~p$T+a5 zlt+fj<9hX$=M{{{Cyyn>o)0t~D#vIUsu{~TFiuEoognxYt*(Q#?5Scb%So{}Of`#G zmXjftni$JyDV6sQ?y_lj(>`hcbK~T#8^6G(KF!|ja+zrKX{+ZA!nFQPMv5L64$DXX*__Rjf{eW?`rICC@qna;+x?y7tYhgClpp{`P8?Tr}QYxpVSoTCa z)i^LqXTu-%AkIM`HeSYPsT4*VSDHYKmX#_b6DW}bmCYoJD~-tuqYaD2(Sb__9N6f9 zeA!r3Lo$}iW0_>NYr*&>a-dR}7O~QpWQ-ryQmix)nq>+)W(y^$I_VYdRds9tQ?XzzC22+ zO+ShwnfSJxZK|0WvQ0I6iY>fAY+D|4Bar(*7b{!>+O}MC7%)c!xd>uT09Fc>DoSGx z18`O_T9g46Dos|-Hl)MRCv$ClYWr5&P<^Ys-Tpm3)w0WBI4bB>C7FiIlegdaH9iw# zS?bZD3uGR9Y4f8FJ=sui4N1%&}{~T+59;;)Q)Az?73z;cn(-rohFsbBv&>SZ!I4;Fy7CSaA4?`?N?BtLg<>3h;vWd=t!f{QMW|Y~D3p~K|&lee3 z(`)C3EJHJXguzUNavpCMU+0{0h?~#8N8fzy?@$iGWghEIS(X4=j?kBSI`KI_O*E zK&hOT_))4_Iu9gcrhR;_~w#j`=;htt={s^um_+qy5o z2{M?Sc5(udZOp;XPT|36RHQOes2XvIOQ|S;iUvSOw3-JVW#h+TIKT-XJJa*WUAGF^ zW9clO9WHC>Tnyo355luFyc8t{;RGtV>!4I#Z@GviRQ>1ixL86=baOM}K#FLwTK%$m z-O=fL36DrIme37!aEh@bx@5_vFAS0KWRe{&Vr*4;CdN{#i0xsLOFIphDODE>sgCBem+3gm*9i&UY=gP(y z&&-z1ar*p}kLcn=tDg9Jr zWm8GLQNKwvwZt*3f}zj);~x@x;t&{PNJ*0Y9m(A1XDKchMfoQm)+bREm04j}Z1`S= zyTvn8i`hl5cIw5v=VKq5Sh)7 z^!PsY-f>?@Pwa=KI=j#q;|iDC;9r(%dB%_z&pamHo_h@0;yy?D9j{5Ht6=|In|KeF zCW_azrg-eUEV);K8dz^=kiMs3itI{)y-K1$>at~td}5LuSz*Xl`>!{ornkK_SP;)oB!s*is)J{abU!IY>VpHWmg?YA zG(C$Hzb^+V_!T?v^B2fHGkfW)g7av079MNX8rljTY~f!1`1NNIrg;rUj+#xx-gQpu z>5jJ_et9tceV@#NuDQ63Eo!iiwHnCSNWG}kkdet5F^AHb1XcUpd!~BNSY~LM$q!k# z-tm~U)tO$qD6P&eN?XChdi`Tk$qyZCtu8h1{xeDOz{4N~zs<%Pt;5(9y%ehLfiq>s z*5a92Yn`d1^D50giypT>3R3XnGu9-BvQN}7;AYR9MGDa#u>-g>h|;=Qyd7}wbHc^w zSdW1LsgY`Z#*kC{AhP%wLyJt#AiDo+$=sxCtu@b8hZTixG&+-^4oWaoe&OZbHh)R) zeEx;LMmX!F8mwc6`rbo^mMb5G(WRvQPVUvHrC+N9R-dV2d#;04;d{n8Tax7QGr&ET zIJR*aQqCg7#3x`-;5@3=Cdt8<=hRwHYTlYNNfCMyq~HrXRudhL%X%qP+uAc_?)Eek z&oi@1VEfiH4{m8^(ZjYLq~I6ZKU+IYK6He)cihCIwtnPHQmlCZq~MvQyb*Hcr9I9f zg;GO`Ga8FM-kSOKb|&0Ag}>^JMa_8Ztitikp$lOHS^Ya^*3Z_wsf{&+i<#S}4?-1j z2g|*mR7p*S!RdZ&=3+VvkM>Jp{O3IUGZLVZ*rTP(%c`U(HxJBGam5AGQV7Uc&9 z7Zs`*fN#=Zc z2E0aV1g* za%{d>J(*&hkV%yMsZOtBTwu8ia?ynQe{bCVY``cZkcj*;N`zowe8iD& zzZ}QtqMQ41`oA7UTz2z7o}M)+mOgjSl8g5jj|&vkf8om0MVKDyv~?`Y9~^aO48K?q zKKSXEH_QlldS3N%uMfrsu739A;qQmB2Bc%3URSh=ZF}&j|S6 zJbtMs`x?}MVdH^(eS^B$i&9^0Z3c%ny~ z3lZ$!z6TA6<^^75bl4i$`+3_x6-`~g?Epb)Y2S%s*xBbK`TgxGvwA!2jqG<;He zWNu5ul;5(}ikSF^D~I&J2ok`$yeH!7sqgXU);{>8DyMXF@DGgF@;_7$n}`aqyw#33 zsSu!Yjsr0XXx-9{;V`^G#x4G;sWAu7O1HOK^rtK#x4>DL9~ngB6W zIW-ZtF(_YaLt%tk8w)EX8$c^wB0~oF_fU8WYsd0w#n>C9BfBQWW6P-L5S#BW;OUkJ z5laiYa=f=A$Kg;CMpUh9h7r~IzszBb%Kpsa1iycqfGsONMI5czIg!!naVXb<>HbyK zm|u^Pe&MA@JIL>0dKe>K9{NDPucMRLuzkTh2Y7*dIfLLEf6NK=eQ4gOXF{kkVkY3% zo2LZs*tl)&MY}mY!w_F3b--ry*T1_~TwZ4a+D-KEOqL#&bP*bZQ1hV)3*hIp=>8_7B-t`({soXm@%-T$3=Mw}Um-hAIw#Ec>Gn|Iap0GnBh_Kn4v)m9JFBYSsXI!*1-Zf}Ko2AcZ?0j&)r z&)1kSvQZa!1K8sTdPF}3@b&*JoA>JPm(ji-yH0j9IHNwaVr zzBnnq5edqsyp2^@-eOe=(}b|J@W!bOSGC-M>6T+5#8Ur#h^4JPIi9|2Jl4S7L(n)a zPk)EF>Z(G-l0WrYAu^$2Kn$5mjB^g@1!KZ&Ab|Y9# z9YGv%&E@I&Mihv!-!PCXkaj=MCvdn*Lm2X(r03XrNf&@V71IcY%SV_xboMVc=}ymCg7@qBZwn| zpTl%Lwz$I>ujP-&8z0%SvrwKMSR8(2%Vo`uB4O~F8PfwNe0$nlX6o+Fm^VlLzq``P_p~|L(2)xxO<#rMExEXJ}ssd`4e97E5RSKUk50s}y1& z9caf_Euw(PQ*Oj0K=ji9V*mCVkWty=MV$VXdwBsOJ-X>R#FRg{p%Ssi|MPFBWB=C# zSo1q)AS8_LOyP`$24xSW^MZwjlwM9XAo5F8Lr?&-cB(-XAg+eXvt9{viA4X02*l=* zA7Yc3^IqiX-QMQ7{bt0Li+dopwC%*{+jw|-YHP$Ly`4P$C37McHV{2>3T+2$gc}J( zpb_2twEWTCZIJ=uA@!C&@9!`zz@C48RTAj4VaH?j!T8v7=M%`NY~TKpzz0@$s}1rGgmEANI6U54p|Eq6V`1ryy?kE<@5g&I@8 zPrxU9C%fId4bv^_mLUIdWb)e>5&GsqJ}}hd)>_r)5ya*W-(Wi7>g)Vy14JKP8bnMC zBlgP>mt9qY`O5}egIID05mzmkfH->C#Bf-m2ut)wJ`#!yWpj2RCWfKzY`%t#hbXY> z)2)a}Ai^Xt(ffr$8b6_M^z*ML2i^@&erwb5C~AliPykg~DE&<=K#!@)%kHkmbUl_X z93X7x7fd(r^COmKreY09QEr^lp~F)Gtp7`?+qiMGNkto|KD-sNw51K=V52RFtAr8h zartkLaQa4*umHlA1p^S9v)A(Uo*piMazA3r3nwRI|2MyO11DJgJxVM+I<+LQf2r@1 z6ppKgOu=-mMs%3w0;)khj#@jSBBAG(BL7g`k5G`Z-1cFd4k;qEeGE>QWiwat3=HQD zT(qa_H6;O9q)v9s8Xssg)ZVN2BowIX%?X&_l5_y+t4=RKEbZRS@ru=mqiuFf4ZQkz z^e4wNG0bZI=J1OcQMKg-%n*6^6U1fnouci{ax`YvMgV&{y&wu7x-JY^Uk=x?5V(ZsuF1g^O5`CEr(aemAf!K!@3 z_qd2fUj_F6A5zgMs&8M*2`*^Ojd1N#9Cz!%t#;k^m&k!SM$kNzDit)d%Klfj~J|O=IC2J=3fAn~z zS2XSLOJih+_{D;QpC*^gOmKKr&)@=(!|V7IPEQW6PM0PKvr zF)Kl%oODjlTzE{OW~LZkzWw@Vtl-ROyyEyx$1cQWyEQ9(Gv6ACt(7$^5z|(zY!z=) zJ=XSy@2*3u)?8?IF0FuCpYk zKURSRdaQMuNAKVoP`478=tCb);x!<85#jR65EkekKNbbHJg^sWbmw<$1cdc}d_>(k zM?d&v)2zTdOHY09c8`((c%>^Dwogl{Bs}T<7z0KhkHNO8ub37~XSiM?#L^RsaX?GJ zdEtSJmh5Xhi+Mg$_pK~plgsZvwBQ+%5rG2-Aw zvvHm$?4NN9VxkY0mhyFg;3o}vYZ~_d@|j#h^Q4_f5M9;=1t>4rg}8i=HHPo&>)PO- zK0EMZf7fNEmbh?1XJXv4nw*QY?AE-Yv@9oYC@kBt|C2XU+qsZ8ly)xU4W*rnNZ0la zdT*y^NJ1270(Z)qMoN4Q& zIizXhLJsLq!eZ}69%-r~a!8B4A36);s+gRK(mfg21RCyh8k@}gWgQ$>s&kI>Hh=rn z?7;5Op`qq=(*n7x8;^RDyL-#S$F7VKfVGx=JS>4h`I4T9setOW#kJz0ueTE|NYAIq8$g+M3AL)d^gh?Z! z*EDAQddVX%ydZyC;7GgF`p-T*JJ8H2IEBK7-+vH{7&~;p=DKHq2UG5?Hk4x?aP$ zXT7Y1*ND{Ua^zn+_zmP|&b?+f_Wv@4ukSTaXuWH3aj3_^*H-fyFuaKAgsUF>6mj)^ zYkB%-KOvSjJ;fC&Fk*o;E=awaX&C!IMNk|0=e0xv(MD3}z6%gXL=#!^oQSE)s|Ob% zrY14}hQ1w%a8>5)1p2Z~Hxs`wN*Mj%4ve4zrQ}k?BoJXTDxxQ&(-;aXrvk}nNgy(6 z61b{V-V1w*P4Dv)Z71R_k`RJ!+9-h}KB0(yfbWe;K+7o>Mz<4qK10|O9* zn)4k``PA=ujgSrKFfA}bJ$fMnJ(kw&M_;j}v;fnqzyFEjkb@VP{wHE-(zCd#4%3N5 zm2DM9;E9De435$qPd1o;?9Y#zF`bD}{1CXEhf10;N0aS(MOaOL-CaO62 z&GuRGO@NqHIUT!_z;x_N0#g%{z~WpO1mY6X!EuNrrejxR zZ&av8%RZXTmu%v!kP>_s<&UMLXNC461+L<7;iFBxfk-H9`F8O0tVB`&X zx3}y)JMiApQ;1#DlB8)6|K3I~#y^%@A2DRXlT_CJbR?=BrHUg{?I=~8+0t1q(NR8R zL_(w z3ax(LrwM`m-}3N9u}nZ8I^8^hssF3`h^bKNnYxHcD8iZ&qCmu~CfF8##hv2c(^a+m1Yr=d0 zK(BdCgpWY;nlK*%O=x0PrA@2!XSflcM#ZjG`jcZ4A}TTGG0i31XV0{32bu*dp??S(MNJq5zr&QGmFsGvv`Q*ByzP=rJ`*FnL@XM6+0vXYiU3wjwnX^FOtV<&{T}fN=Fk&n9FjpYvJ*!^(T!LrivH-o6tt2}GC% zDx#->tb9&bFciZl6^O$pm;?z#Lnla#1rR2Uh+flJ@S_@`0;vW`Aaht$Y55fJM#oh- zVi16ugp5jC`3R%a+Ef@NwRw~ot!~tlKHtdgga$dlqW$@(r}_HCsE1fL>Jbwf_4Jr{ z(5M&BLmR|MkY{tlIO-7-NsNNTgfhf4(MB=BghoB$L6~?DJz_TM%^f7qpta^wu2PV(tz`miw5-_l$ z6VS+`R;*1rA@xseg_urIqivHBAqSY&Zh5!2YiCCc!SG9h10`^D3i0K?R>K%)i zm`45@h?okM?(Kt^ghJfrsV7gTfD%k8R^B3*{~4z7ze=29Rezs5BQR%&61rpW-9;2;WQZE6sO0D7-7U&eM@|P@LbK(@O^!_%8=@hNJBHW2dAxW&z zeZE+s5Jg96CBdE|6HD8ZCs<%PGy z(wRjFqmZbLA4r`MIJ&c~^pdavhQA8PGQ&`)r6s=^fkqUEsf|NHev?A80deY0HbST3 zVJr|B;ITOqf)#p5w9)-gC`4&(7>_9rJjn)#sb(XhP_iKsifjy&fcqc&K`T}uFhZ?F zO=xZWAGkzv?N=#P%qEx(FueNC#)u(Lwe@-2PHQ$KFtxFD>`Q#REo>k-|4qc)MqvIm zxBn0$=xnyC>w)Rm9IQ~*My!cG<2PBbO<5bpV-N!Kz>{o%c#@4!p=3iOlqD<o;_*T3pZ3)&+F^hSm*q{f2H;i~B!xYnt5;!tq~QnTQKSL_imew1o-X zfT9BE1{Ce@=>`-nOy~v_EllVJ6m9Rp>!x3v+xktl2F+$tRUDE?GT}n0wx*^s!s3Kk4pgzj;Ya3KifVM#u z0%#l59T8q0-Kq|YDklQ-hH@m(82tGbVk*GW?sLQ>K;=A4yJ2+fi3*Jhk8tK@!o0i9<%c5 z?bG5tYUN=T0aB974Ko1Nyq1EY=Ccz8l20!x1k#+ABrrKGNnr9BlEA{J7?wz4@>(*9 zp?q>mMwf2mrz7OGy#JAebpNA^xB_%b^2tevwL5?}4MnVZO}Fp^DnA!6EctKu@(kp) ze4byAA`K~Bs{z44t07SU)nGXjfEg$`Eeqg^U!31K$S|vM92H^w#|*5>X;dSLX;dSL zX;dSDX;dSDX;c&Gn#GgA)FVh>HmI@v9|=HSNvcxKYbmOn(y2+bE+?8y8#T#h$Z1J7 zBLqa7VY4i_@xq$d@&-7$jQ3i8P!2fGYsoO=(FUr;FCo?js?T;K)&?r+Ag^ZfBX0T` zu{KaupPI(kf6SRkUP~qf6rzn*j0iA|R+WN@Hdu*5w82UUL4HIt6Efauxsxds=d|1z zhKTsVhYcqAsnY|;cDA+9V8ig2*=@4LU_|%*Xs|-ec(6P)mINRVMB!lbCk!_67~(Mx z;~5sC6-Hp2#JNw$-8(J8eadjW`*drsget8p=)-GERJnEj5X96ZRgS9=YfUzK_B5RT zsZFb&yo0q#qWg3#69Rp;+7`?08^GuO+WSE zf5h4wmv@ci_OlFxVE*3WEoPt)AXkLmx@0tf#j6`(DI%Ci^lpbJgx>uiA+(oAn2^O6 zW!^bs``AFQ>hDf(2CbNutibo7Z!^ql0{)S+vZ_NmSA^W}8V+-RytM8mYzEC6s>9VS zzrb|zW=5U-1qEKx7CvRmL%LG{SW(ua{?W97< z-A+Og)|4P`DpP`e0~7wy?nvr02L~qF+=eNFb|%rr`~o@cT*^*P#0<2vsTy_}V%puB z{rwYg^#lB^2coy!+5*#wUub_OVo@H<|8MXm6iFm4OG1LO`_phcUIUL4clX84NfKC7 zz{W4IP=RGxM|snU?Rizn0mNJZw*MQ&?|G3%)z58A$Y5E$1~D-V{jmZu73lwhUo6uU zShon%wJH~wHl#$4cZFH|Bg4Rm9_BG8P~)KOL0(|1>u@DYxN74H#MSBiYOeGnzjP>N z^Oq2$vE#Wn?b1}VBg@@$5S!=L=XO8;1Vy zgs)Ph@i1Pq@tH+TY26v|lLzj2%NOQ`MsKZ6nU7c-ejnhsX0#XLuX>2vjd)|eeCcyM zKfQ6k7%n0)Ay}Er!3m>f_;fEQbnx3vwc(UuprPT`&oTj~;h30e!?h?>dlgRzMdQ7n z2|X2*Vg){&wFs3G!!wMw{Qi#=5N|Nh;gb$qULS!%(0EQ*JAC?OG^T6AaRYtIghq#b*_%vY$V$H=fyF4L1?4K`2GrGfZ>l`G| z3K(?-VoiYM12_ZP4UOY>zv%QVbdMj?=^(-{(zV@?$S*c4Q2(L;+Rckui$~`Hq4dr^_11$@kj5IW9+`!(HU`7Urw_hZ`HEx@>N{ z$EM0=W2eS;n-#x@-fuu*QQus9VeZI0yTfW#r%f`xst))f!^|4b&R_a~cQWu`P}=e` zcbOCZ>JEdW&s#n?v2!Eu(?rvH6^CkJn*WrwufA zY;L#ue7QcKn|&-^ukfy28K1I|Z+3ew%L|oU7s9V+aM>px;=1ZFqyHa>YKOMbi@I_z z7nwv|-IR+?qUtfDHO{dSD8gx6}DqJPPJn25ES4>c>94`DJFIx!_Ytgv=-Bl98i zBR)h=nDI+8bykmF0OoW3feiS#Y4vj^vs&57SV!%*$z*Kf@#Q=0_JAv=sEG9#cd;iY z5GZ!$_`t9Vovd%DReMYg)4eXA+vo8)(2P>-4u=DnW@NeSplgCL4H__HKKu~T0th!l zxCO$k5Eeq14dFHjx2xGn#yH{_XsCJoK2+S5#VzxBOqE zO0l^-UaYJdVojCMZ=s1LFo_Xub}^>wF^VO(Gr}(ev&w4cDJ(3=w^?mDPH%B>jx)cw zD90NpwC8w=id@BBXeztYp{}{ll$Gl6dYulZDqjNQp3UXNAJmE;23WO$;qL05>&24& z?f%1eX#z)Y=!+E=daeR1RF9GVJa#PSnK~AYqtvkoQ%7oZKOegYKhzm7Y-{84^h-IW zjzyR{644W8{Qei?GE-PT*nRN^*M$bVZ)Gk!4R(6W=xer#T%=ChW?GINq(@{fyq^X` zf93No9B>F*XGd{h4F&nFZ9PW%^D(~I-EK9|)R>jR#+O)6?h!fl6bh&bDliFFb|Jqk zt;bwYA0G%OK54pN{)fGWEe#UJJ6J7<;l8p*dCR*{y6Edpd=mCg+J@B_95n!|S&xw( zs?qE`Ps4PI&Era_Mr!ZIKj5lcYwwR{A=cVkhbtNV`4CiVZ@r+@-Xtisw-8KgZyn}> z`go20%k7OK!YCQn-r4-^DXqQVPu=gxrztu-f5WVhNpg>DB-%xXQw*dX2Gwpm?HJD-$N&;dJ}bcJh>j1y6hX{hdm;h?YKAGF$b+NbkV0c*jMXt)sg*}PV|V+i_|X9IY|9| zmqaP+96&FYCbhtt)PnvzN_{SM{TBauDox7$M{wfQV@+y7fBvL)o=aV?y(Ce}mrwH7 zjLAX-)}$8nTJ(}s5c(3mY?%&uIU&+XM4v&{?U;wD~^99Hy{D6~iXL;r|TaZWf{^%w0m~Pth8CEWKcQ!AUXNV-co36VcP2iue&G#fY9T z?Xk|c@x|}4$k=F)MVR(fWPEyzd#pL?z{RkD-+IspgGG5glcjs1qsVD<*sM8VQ2`ht zoPiv#%kIo^78co@4o{%SZL`%JB0P4V*W-3r(LZT{<)$0@jH`x4+CA1>yBns#i;Qd4 zgZF0SfTOZ(H$PnVf8gdFd1@veB$U~660lT{{VYz5J|upeA{yW~8wgt-orxO)q9;uJ zh#t#Jf_W5c0H*$Fyaw9(9EAnGKoPiLtwk_Mx&xjZpUqd8Q)~?s6uTYyfg%rcRA!}l z+%V?BptrxFu~W9qW3%Uatj?P2SgR)&Mo^Dxd&jt|N6C!q`Jq9lhNxY1jICB&y7dTZ zOZ15UEn%U7&LFJaVbArttqJQCO@t==%{~&jRENz=E=v#@vB{71t3L3)@qk+0H6x%_ zziyoVPwUugg?YwXb9v=RsN?D~1ue)d>bR_8V6B+ynCN*O|BC`!Y-bXaKJ3u{tg6pqA)*U2Ywk^^5gEUNPvXLw^;p{T ze1d5pHg$&&%lP-bbf zc)Bpp8@}Q{ zNJ}_%KF8}LToJ+-HQ?t8M1QJ)W8z1+|FxVy(GzC;Kv6?n)0_uQZMA4hlIbNU&34V? znh>TJk%>N=4^I+lN|-bwdcuq!*kHV-=g|mZPSo6@WOR3~<{A;EGa)iUJ!bTKu&|Mt*?qc)C8PS3UTm)Ll&GmBgCfw$Jj`w%uDiCHjSJ~2> z=$Bo?G4Uh(`9|K|h@LRx2i-;8RAS7kI1f5{YSEEo(#uRbz3k>X5T@%QL_c{3Um%f= zgh?l&C(QUkN8vj16W#M-cOISH^&mTwOaJ>Qe#wimjbR!AzgtMh*_HB9w7JPs=yv*U4-!>ixvLN9ix zt?Qb0`9mrD@Flv)>~$zi*^;;M#+M$4`o4qdM34A93Fr2@)iqO%al-xHCQ&#YE^C{b zARJwxFoUmk+w<GWS{=?DcfbLzXq%@X$6Ms^=J?!&wnC8B&*UADlDR7zxEn7-r;F>RsO%jm6^LhyOi(;kdHj%V?Sw`C*h%kFA}>ttLT_ z*yKdnD|&a%Xay^XAgqVIc2}{-QQ*iaE)Kw*Xk=b>n7~I{M(# zwc_ab5}q#T5&z3lduz@+9yjciKx&wH-i1Lq`U01>^4;fA%IIn?tseUu@O!sJkJ!|a z*Rf}jaiUmO{-Zi>Zu8oF;M=m*oF#>AQ1#aD+8~0pR@tN>KFe|)wPODjBY3)?XSl-J zm>t=}u$uj^4OKoH9}T)`LsNJNPJT2LMRd6AN&Y+WM9=Vf5zNcS(3oI{3mN zT_@hNKPQSOFo_dd%x{b8F&EFrYmd)A|CjqBaD=QI8c|Nxz`cWao3R`EpM4T*kZ{!4 z5^J!0c#sb}I*jzDuCcA4Njv5swhJbqzZd)4hWyxt#D4$ETCpa!pg)gd zpHpoc4g7$M#I7DzE7rso^yg1(I7mN-+V1jKqL^8I_|YP%A+RR4pyy&2#oP8St5N;e z&ia{!!@5L&m(%9;!WpDXt?F%j7*9vRQ&aX5|Jmm1Wp83PjeOdgAMomMRo^Z+{t`W6 z)A>_@S^K%FP{qHiq^a;n1GITP)>IJm=Us*Wq7_p3x2`miTc@L8>9MAQpg-R#uwHPk zt2#7|@e zJ>j6>NA#iR`XhhhhuAb(J$efETVMCfxU=H>OEVNX*_rE72bwclwsjN~1oB;QA=e9g z!W?Ho5o{BSi}G{wi`*V(z#i~<1L_s7j0S4ufQ*zBxZUZ)ljLl6F%A4r_8JqO7jJxf z@i=)i!Jl9cqtZ8C%(T zN;kFqX=C#gSS7gBjVFvP+Sv;na9rne=HwTEDzKfjLEHG?Fw0}JdcEF2z+2?T%UEeH zxFv1%!hse$+PA}b7C6v7KHZ|p=7m+U|K5zp)EhS%9X%|LPq7(7kGzB;2lLZVWIguZ z18;3Zs}Vh7(`8(R6g9gz<4*O}b;j-Nu%a!h5VI*~z8fU=IC9wA0B}pVpdiO*cjm(@ z1BF(10ZbTF6Zjh z(X6Vu>R$?0_5CJeBQ=uUfxc#xxrNgSIOCn9IdIl>y69jWJc#=&WeZCn>HFPYH)=q6O^JhDcj6{ zn}*s%kM(WH+h`a@E51LK_)8;Ud1d9OzetUkC`9w^Q!2-u*1xWTdI^%WQ9*u2GV zudArg>$Tfh|Hw*nyR9Cp!_D1UwcMn&`CyEO{lr@JgNKdw-u_uhSkL}%Y&b$illVs^ z^f)@*i0MR+IR1R8Ud8|I)TOp}gluASTk{plj~V@WZyz?y zf-Ti-pW8a}xq<4NFtx`R_&o&}1SG{Ww>b41U@CsC`e#EX7uOXpqQYw8q`=fmzE5QFd2~d z8lFyAd^=McAjkm8pa_!z5j|nXkG*^{aISWA`F}g=ul-gYE>u{{u--ryp~#d7lj+dK zC_P3~D&eC3`?vB?#Dq4X%VkuDboq=h9br-@Crq7|=&4f^Kf-ilfanP`eo1gVi*0fq z6sh@LRx_rEYcrZ5c)WNb9g5T<#Bj8Bhoo|zom zbf&AH_sdYv_m0c%z%`g|$Hnf;(2mRSG+#dwPP%|&+HvVIqc`=5)1%_#Mq{#^BEy@L zYD$tR*#0kgf3g{%CJ>rJxFAiEn#1!K2muJKAhcGmPckiP$w>177CT^m<<5>&uxHo;5(1-GROd{WcMFq!ue1`d&hd>9M|rc?*uLRmbzZYqUG~!i?`4 zd(bY>zi1w6pzRuUIGV+O6pZK*!%c`#1JeuIC5R2}3S33`ZmYXE#|1CF!~Wb`oRe=4 zICDHuswdxLEw&Xp*!_pBI^dGuTwo#EB$n%5EG+PC_OE8`HulonE}dpg-Y2DKF1ODa*#Sz`F7eo!uxh2jw~OE)fm!aMsF&tqh^0^%#y!X zm*p78RXk@iW$}v**+uR`H~d0FPNBWP3r-hsjOFKhtvObQHy@m^h44!!f!P^Ziren+ zI-NE<|NRe(a7y}WI3>YvnQH^*()_}Vht-BoQV^ zn$0KP8T{Mj(rW(hk@Twgso0Oc?eUj+)qdXmtFn~rjtS?!4xzBp|Mn6!9OF1HNt*%CNd=%38>0S9{w2_NTN!sKikW( zsPfXPmpB$pV*cnJ#MES!Q~3{ZQIlE(Hj5@Moy^liLvp8$WV^oVi9DiuFt-8!1dgKu zmt1=m492V7drprny3V&L!*p;)Q~s5&6*W`7dLdeXX37np=jhX3CxaM68)|(goPy5}ERdUWheQ{(UTB&6Iz- z4zXs+_wYeRGiAi_rYtgOrYsn0rYs87Oqm2CQx*birYscFOqpvOGi6pI38pNnOfzLs zrJ5;=D%VU|G>K-)qRBK<7EP*|vcQ@t{{l^(V9F=B4QQq;ux84&;R^V1sXDS6>|p4* z@-bzmT&m9UnxG)&D5}7)3|6>Ml1o_k^uE!2%HvZWTuYP}Vw zY^_DI%C_*xY%P)%-G*mxt3|T%+aaZ)y%wp;6EiJp=pjQrHTbmBCF?Rsk!1FIj)Ws2 zd#YK_8agL~5vZB#O?h}1R;@SK*p2_S(QFcb9c6#922gGFOx;#?H;vT{FLat2pgO&~ zX@NTPct#|(CFptT5_N75(*!e5+%1uQLZY zk6w|T(m0a{%U@#|)alDi3rr6~DhkBPuRGjWif4m4@y~sg^R%r~>ADF?x$1ArSS4!WJ*Aa&a#Ky{b((#1{M z^OQ$I>tZPe&r{MbmkYAd+a8r3{kQ`sW8l=w9uE4?eN1}uPXS6R9+Rq{7NAeZC!{&0 zaDd4)P5Jyu>5+Xd4uVgvlO8J*VAY)Uv6P`5o{8l#KTsayc3Z_pXuHsiHSr-S{_noCSMmZG zQ3BvpEyMb+F!%@KWTyQnCVjq}exaYf-c7&YPhan*51)w7htqdW==0**k7A0?k5hWs zFFsICzpANyL7fVqFQ}6M;sfX;pr1aVPM-*%52({80_X$k^b7s;0d@X~0GJl(3+nXY z6ZQr5xL;_*PdUeZm4iO*to;gmHvihT_ABhaui@(t@oh`%B`f%HqYw8WRVs@S^UsDr z`Q`%)F@k=sp9tu?Bg8=aZFy0E_S^D80PUycr*f}BHGTL*R5_)agWNBK`JsF%@(}-^ zITc`es~y?^2~au5!5284xsS}cr5j@H!zX)tAl5!5Akyh~=qW$d5bEYi)Vcb!dPbFdqX-i{oHk{AQ2YF#tL)%m~Rmb~oGES~=Rw+Yy?;#0)Y zik*0AiDM|AB0{+qB=E1|zwe>Pm|@|iM?1*xVF8F=9{NDPucMO!Up%$rf_Dz^0{8O# z!8iVx6X^TUyiw1DP-Da(aM{9MBJ-b*n*!`S|Q5usJlulxsl^q3fwMPKFHbx~u4sX+gc)tH`u zpI1vtGFHwGY?ilKZ&@@s@aooam%nwF*gCOqgdV7BT%5a{Yee4+6*Ut2mEU_LhJ+(q z`=aIhyFHEt>ao9N3UBk_w_=m&ap|`34rCBbR<2=9P`Zuds;NKX+kMsFz01>GA91|v zHN;Z6m%qJNcNPj<74Cqz`sjGXWtDAs`V*rP@qrt0TTb-m@O@MHzMUA(yJ~u1?w%zV z7wsDxD5(F!m9~1APQOtdRsTZALA2Xjynu)IBc^o!hBpvvzhS-UNsj501B;d;rr)p* zM_%Rcm}oy@y?hqW@YkdG{$uF1X^82=2Y!~mu-(izo7K5G@bclJ2a9jS^s*^$V-1$K zScM0@gr$Wy;vK@OmOC)rax8>c>c5X+=zm*#@`&lX#q*hh`g%muAVuQ@D~w$-{bp+oZmUs-BsPyOI7zdwUzz`=VLE|@`I~Hq>O6M zTtQHnO$;$sU)nV<7YUmW77|Gu?LV3%E|(EI(y>Y}<5w6kl&^6D3R;nZ>kpf$YU{g< z6MN@pv=&WsuK47)HM5Gawfk%I+-|*=u)XMQ!gW7fFP`82sR1X#BBDd}(6u5cO6m=4 z0;@Mh2~`HEc=bc;Hb+dAp@k2vyXf?NL`Sj6Fz(Pf(@0r4Hkpd<&cW0;x;qC`+j^e} zidN0h)MO~9AV*WRoD*yuKo6qSohifzSLW31sbkK4ELuo`hq0U3`Kd zqZ8ezC~*sd7xIi)>OlM(8IvLnlMdbZgz3GzyHa|>Wi9V~HrRbe2><@FqaxIQ)mx98 z3d)CnH&Y~sm=(;o4U;DyZh65Oqh!<=@^+-8A|? z!X?X?BQ+#sw-p%rAK&2658Uq!_(0(2KFc$C&q=A@6+={|Az=u zm`P9p#vCS;=_!mT_J1p9=)8!Ov1URAWx#PrHE)zK1y2R~_}&}?w#NS}M#4dpMfy2! z6Xv+3<>!g%n&XxxK6r+nBSSR*^9|;h6L65r8qQEk9RCPD+O}!hzn}gtP7)JFNjdIZ z=4(_yI_4&*xx1d80~P}f-_Xt;B$ekN5#((P64t6RNMbE6lvCTiSKy2dLN~f(kPg)E zeTJ~Mt_$I4+jWFFmQgyc{V^t^F|?gZ8P@(Nuyx)b!g-xnhzu|Ei2}2hivpkfSuptW zHRAcgJ%qJ~r&okNTO7Ecsle(}@LVJO6?ch)F*%uV74qc3IBxGjl9WHYm{&VD80Ex*KTS5W$x^^~2I zAaP_K-O%27N8r2eCmiqc*7VRz|A>F8@&mm%Ju6>j+hlsJZT_N(5 zac>#Ra3RqDWde>T!WnXWlJZ~QrwlkgiPr0&0b8HzKuy5(^>w{OlgI!owN2^RU(;!>8{T2}bUrRcut^#Mc?56ZN;O5YNx*B$RN);{x|NUnuR9y=f20I#nw5 zred+_1a<=Tf35W}b%W;rv&Rr-!-C^WGfo^7AI?(i{8ZegC{c*%TYh@rbhHCj)Nd$2 z{NbP$KkNm3P3A@i;sc)-jJ#KmO$*JfY}e~*5n7=9a$#E4Uc6^!=&n1miYArNvYRj} z-99hj=*K2vP{pD)pGH{4s@~j#FvqfvAMyzL9b*>4bmv+cGiq%AmqWvszOIX|zW1&S$&Y?&efOLTfp65qM;>Zk1e zXNTI|_1*RR&!%;}z4eX4GLoiMI%ZR>=3ddH=~$X_5EN^Z2<^nS9b>EG5@8F5j|g)c z@f8nF-2eF4X}Exxe;s_TyT=ojc8iCQ)>mJ6wlKA>E;8WwuI_{r72U-1m3f5gMs@&< z^Y6&Leqel~aMi%O(=X)_cU!|M=#w#1NZ`TQu9ZW$V+kLT@ZSyyf-tD=;QW9b)H< zQ|cH`T~7H?dZY(T{hu?eA1^kG6>iy<5@?Suq!F$@6~pARXj{8k==sRlwzL8#m!H1w zAn4nRFk{;41ZjPqM;IXW%RUsnAhwtCi*9&7MmM5=5s?((2I1h$n`qwW1koi+#5zD` zsFnSjaIO4eU*6QsgyVN~AqHy0Z;R(2+mrb313asOm9sGWRDBBG&c89WI!QN1|TqFZ*n6SIH z<{#2QYhZd@Nf4Cui?IMUn3HLew`W3~EVCaAKX5oIVogOBFc*oAfz>*=o30J>d zK)7yIFTypS`Uq>wAoLFukT~^R!in#GCaq?iw+%jUj*LnAXkZ&*&d=WAJqU^h1YBVK z?m8l1h8X8PBP6B-Kp%YIVNyz!;bEOH7g(NHOqdz6KDkIJv1FJr3Pk;%6DWx>!+xew zXP;F#BlJzTj8oSY1G z5{R(lu{qNbpHlwG27Lb7fiq@K{V@gsEw8!$ZM<=H)nA9(>nlPF7G2eE`1zD!O@*it zt?k8xmkfQCGR!NOES_hH^}X_pw!EB1#&jHg`F^6;nmLT-gfKJ2n01QjS?6LZL#gFlAZsl%#9Cc18D`89F};#l z=EpU{1#%5ahCu&im2yATmi-{wP!1HD+4ef!?$XRS%5~c#`_k1gGxl0t7`3qrf>Kd`Eo_DU;fNkvv#~h208Rl(QW|+5K<#QFcjv402>@xot@97c8z!67Y=PFf^*=3dU^SEphPESgP zI>QC9&hVZf>kRJ+qE2Mu`g;@vMXxwCD>O=m15b_2J_GKjMrKD0WvH!Gxg)B!Vr4jR zwUfHSE_wn-W-k--3UBq=?~GlDTnHvOGJAdrB~sg|cLEKNsO?mliP}z;g{Tc_E^OD| zh*>HuH8ML2%aPe*EG;rIIwc^wdFL!cKy<{Z0nta_oy;7Pa*T4`GiGMECYV`OQ*w7a z##KquA`>2n&WeRGhp7S4v4&C#Q#Aw|$*DEOh4EjmIcAE}0n^aUQUju+RLBJU;{d3M zO+zSijRRVzVU54SDg&OT!kaZE03a$IJvz!-QoXTK6w#rsOoiQ|}gs0a9*=sTCyyNjO1jCUM>y zmQE*aO@XlQ)39Ph(x>L3B@UBGo;Vnjo-B4kuqR7m&V&;XmI|r@sPFtnFv}5r)39~Y zgY=vgnlb4c=$}@;>=7bRA$`|AMVK``-+3uS#u!+Dqn%3_7& zJYz~@WudIm%oJ-pbIk=3PLJsO;}z7C)Iz2EWWs8pa%c=;wNP=Fh-p@>R5D#60}kn% z)1I(8z104YkU~BWcHK^x)7PH;il`}`MSodISTW#UF4FTXYL4A567V$YTSS<{y9UkT zxtd0025Od*1@J6L45XZ#VTT6CqfN(oG1)F0z?R!Z1-O;X!U1r(Swu)**gKNS#Q}5~ zbAVl@R}p<>ejK4U#szW+Use>xJW_E29?4XOa-`z|c_d_pc%)>88B2k{|Bu5hXr_`_ zX2>>g3P{tmYcw z@CNyLHj*xJ_VL$toKIbmXS3kx5VT4Tz1D*}#P~h$ikYEKFaG-L^Z!ZW7LHGjAif%y z;}^PfeA7bPh7C7hV5a`6Sdg|#svow~B*I&y!6_XH^A>8nYZJn>C5udSghIc3G+8Lz zN<4YL0SBvNgn1h`?w?4Q^Q``L@KoXdSsWGnHOu-F0dEv*h`T)g*pa54W`OVxinbA3~uhAU9zuM*lA* z0)n{I0nU__gbQUQVy2XmA=4-oD`i_IUg`m3lly8#rg9Is2JP#d;*GBCVT>l7bbiHitT&(TrEua1QeU! zd;*G3RU-o_vm_nSf+N4N4=e8x)8XWq)J|-pRmZL%%r;zN#0bL5mV5X@!pfGLd_G}5 zl$;mpLzqqNI{91~s3Lt#Qx>W$Kv}4g0cD`RCBE6Ej#bMl=jVB=#CO+J`d|J{3~>I| zZXXe52I{u%1`Pe5IkdMHhs#)k`rZd90ZYiwxducAFz&zqB-J1nplT2qNIg~E8pf5B zCZHo*IRC4a3Li4#HsnKQ>_Xu~X6!=2Lu7K1k$7n}jRSP#rt9`?9Us3qiSrzvbV7{N zwfu$)(Px}q8lxMSNf$`8wIG=YZk5MB5j&fFt_ShqU8|3*>{y8tQYWNGgA{Rkmz&#~ zYERh$kBjqUYAJqQGkgy6bC*~r_ItR?L>GQCBh_VATfcEes!NS9)}GucBYMEfB`5P! zE;~`6#xA`$F@*ImeSS5}F?%hUV|E(K43txm8D^&?G7Q7I17YQ~T>g;ZdGKL) zN0{1QW>EXsR3cCXTtAF(EiA5_F9JFcvgzzIEok~QD!s(+6W1`KJallH$46xF0mqVSvX?Apq)AAbFxMVjTde64h z0$%OE1^tNV+(HaV)IhcH1;R8?QTu=R4&6{AmG*_GW_Bb#{XJndP}Luvp_pK&B{Bhp zsKE+p0IR`D=Ai~FSw>8aR+0&JB<3NPsb0&?C>1QZs6hCOICb!W!9**}s0{7j+||m1 z4dPEbcj+t#BR>DfgOxb{hnZj^9!x@z2yW5f^9u|%sTl54CYY%ltO!$+q$B0RiTZ*VNVtY64Yt2(0+}hd5f{pB$V_n?6Vs{QQaAVO1oi@ug!dU>O;2?=I4A) zI2~K(e`F{y9oH;-MLee(g8HW$*mg@2?;e@_;-t{Hujd3_g-@9+%|Arg3krmvpL^2( zMde@TT^f3(MO!|qU)||?;cA`PoHEs3n=B5fO+Qm$Z$!9S@99IhW_ugL)t8hKu8w{A zsW^ak`zWD6cK{RU?;X)$CNTkg@v9keqyfzDZg3_VzuO@T;deixk_mn{B#ulL|HX3K z%#9O6{p!Cu^3R_tVk9LN_$KsexItPBg|N3W(LGa0!tVDptgU>3nnig-({O$Mc6!dv z%(z>;d?1~jF|GQ;L!w=)rchU`IRMc+u{rRc^oBDN?2Kg0&PqxHT^JH%)7pmOv zk}2(}SPLptNx|-Sl)_IeXlxy6{pZlY#D)iBHcaB}X3=vtTxx!vLYNJk#PEvB=j7vcI$ zaWq%^uQ+q4b&kyH`8FIJb z0;yZ!c<|iTeQNkE`K%&z#g3-hWiVXh@QnD%PQ3^}w)5712ZUa!m)5Slf%HNRzxRk! zGnzLh$L9(EBNRuD-?fX^66WzexDXB^Arn|AdN^^k><5Joef=~woFWDi9&RZOU>=S+ zPc>X)nK=Ke@mzAn<9!gho(@uBfe*G`KvMC@$h(~!T8}rM(y;Xv5!8i;bH=>c87n_H zMpRAvtHmyND{%mc$7}ekq^KF*eA+xu%@I62Yg{OAKBeJ2Oap@QaLjqaa2+!g%HugR z#p6A5%>@!p-+US_x~4KX=Xaoi}km*9pupV-~39KnxZeP{m4OnIYE* z7syq}3^A^K^L;~=6Ndb_Nm!>;labP;G-*s~CAWDTwU*5)IjN|Zh$N}S_8}9Vg75uiYxqL*SsU~}z zILWR77|0~Z*DLly?QW`u+Wmu{ zXm&d64T7N|o9bIOW-GKRw7KLJv_}C_nEd|Y34-#XvLu<(ILtr+)Se_6s*=heSx&y* zt8Ff5&so{oIt0u6{FI!B_3>-qTajH?Y`e3x$dy z0BNxUz9xub>4}eX+GIi`6EDOraZ#*>CJv8751y9YrYXp1mx1#-#Ff3Md ztPlW)MFTL9Ns!VUI*c<3{q3GPf7R>P=H%&hJ+qtX1E0>xKE96F6uv>rF(Pre5g{zG zC;BFs?i({yF!?Be3FZL;WHg&(1O_q*QbrCLX7j{w>-2KK%_O(jCqH~@bdp^Jiru+8 zMjC-)HzkeelQht+hq#u@O~=_MaUx6$pyQOI_-6CR`$n4j43Jfd;MKOWN*_Kj(FhD= z638k&-3^nCh+KMNs#GZeM9)rBfa_LUk_r$WXHF`B0T{?6I9c2(1xVqR6+rQUEvq<0 z1uy^unPL|QWt}Sb1S25?f?b{}(X57_l-lG9lOb{K);6v+%gv3>D! zsoU#kFVCHBN?XmHi0Gy8QT~Pjww-=Q0mPA{;GGX(<0m1t_q!B!? zKYUENjqC~MJ@-=*+`HgVk_>^$0Lhx?UncqpW}rYyIdc8IDGLm>6X2F`G#+m%>6Ta$ zIVb6sKp@=`oieI=8m^U7A|(Z{zLD8KXypT=4Qw`y;gU3lqV-F{ z2Z8btA(^t7Farga9CoLW0Z=}olyXk8d`4r>$ew|55J}l;BrF?yD4(+LFarfpK4t77 zNM?|%hCfN!l(C1ZqpUat(!L{QOT9J_DO1lrJ~zjdy&j?&Urmu2tmj2sMQ(@7VYh+$ zglz6&cgW_4=pQyWM3Hs7e4!$*!_gpSsmtdK_3{tGi#$`0cP|m$89|ZF!H^Pjk_>^$ z0LfI=DdosHbId}pZD6XOAq4Lla7Ds_P?6u}u@^yjTyMx{3pfH1Td_S9F7~<#Lq!l8 zw@+?sv(F1-8VqWmwSn-g4xa;pu-n~^^r_xuhv*+(mrr-Rk-f$2)6J&UL&ghX-fYvv z2yXfMBJl*t3_zwikt{>&9ED{kMAG(q?J27h;^ziwMIzg`q=MDEhb7rI07;gw+vmW< zgEg(+^Jex=z5d+X5JoAkJl@*&+hIoWH(Xd5YrDnrykrH>J)?~gs0v0h15mYnV%fGj zj>dMw8YiB(l96>)<0J>yI7yfaxW-S(`KLa3VRjo+V*TpHxjqvHRJN@CoXwG2R#iE-9+>WK&z>u`W=6bLj3)ME#Glssw`cRZ;rS=U=|guuGsJOL8%$Be*0 zCV`mqfY(13$F~je*sE}FoEVv1V7B+I)nHzdY_|DF64)~z((0P~#S;WeoS`IH z-T4vu1Ts*dqU4_`WB`&GB+EIOqB+t;OL7LHDS*=C4ZI*JT3wY~LskG;-aI*YVcjTz zq9w@yBr{0Xz@MREFi|EotnSBtvQ0q4)D948m;o5bB#^6)=PT6CTW!l6YuAY1QE2xT z25hiB0`ax%5ibNyhbZtKh({jr7dxB|7fxxdvM{o`*XQRT_6~>3Q((8d)8;gXAMEfz zKwsS>cZGiO*lee{?t+zC^c1UL8$sOBL4fl1PZ@!MOai$WTsTc_X(8Lk6P^M3hAX{q zIQ%IJly~o=8m#jqr?0F|g1ooaXnCu;h$jf5^G{2X5|NWbPAwAbyHd;rOS&nPfAzkl|DhN9tBXkBpHBY2FXhJlT^;vn9AkF^P~)* za@F7F2!JYQ00uG%q^o%D0kE&Yv3;AVODGg}`uu)du@kz78;o+B-&yFhd7TlD+YXP4 zo%#)5=d{W2yL>LYLvDw;{OPWD=*lj=Q;VD}=B~RpYnQE;wLGrRRwMZLO_E(CGXR<9 z`j};<8pibkz$u8gf-bZ)9ZG?ey7(@yR+>ajn$=7ZY|#Q!)EQC8Iqr0 zdTlj=NwZ{KBAEfmH2J6GtkOdpv)ky`e4P8Rii@~d$8n}xIN%LM>@K&>8*y z^B1}NwtzR{hycevPhlYjM{IAh>+vo*z0Pp>z-1GFUC{;uqg*t@G~7|Y{j2Qk96H6Z z=FL{7ZSToYE~na?8^J55WQ%%6G6Rrl^7RrQET8*tgy9haCU%5+j>6K}@AMS=Tw#~3 zxHtscxXysx=64s`ZO+1gGXk#CK-jJ4Wo5TEy8=FMz~j|TOLKa{sti{5UZ2m|fOj_q zQxvQII(yD(x_w%93-hgqnweUiD|!E9V{;?8HKU#29mxzpra1|``x{P3J}-q>#2IOm z`3Yd<$$Mp;{sJ5ufcxzhf@35z07;gwFY3;<4Ive$NUc7u+CIbKcLc!ot zbZ=98(`|!W3g-4WTPcu%p!NKvLz;KWKq7HzPN5e=#rm8TIai6eiYII^3pnIZfuqBZ z7sz=N2LuCHK39erLNWu8WG9hBzZ`C{=r^{=(+9|*0i1Q;IihBf%m5^rJRwp37@m-z zRnrL^$7I~|&1M|BUb{`Qf}@oI9J)nTBa#__B$IQ(86SyR>kl1=X$tl~?`xwQN$kSY zS(8^XOgk4{A?j-mfc(S^0Zu>I)Cde@5}aTrp?&wAmD{?h)5zq7{aYlNM4*^_qx zP)w$zk#iT-q?o%BA7opPa|a%GThoS9;+8gGi?UjpBKOGJMDRkLtj%khH8TPOnFPY+ zW6II@F3jBvyHSgE`+st+$G;`z_Jf});At>*c>~S@r`xT!{4TrBoNxI|>wI%F(dfmq zb{awDSHDuMETl@kmMKm&#~zrlev{+APwh@Jd1>3PjexmCs?_U~#qqJ!@wjMxlF9k& z_ZtCoiBzfACy8V4vDEQ(`+i6=`N+thjexmCs?=-6F&-oUJ$~Dst)H+{1gOn!yCdCY zsSWm=Ws}=TIeFdI?zk$aC>{!^0fOCNV$XOxwTc_3j zqOE-3UjNSr=H9k`&$!$yQxkWAM<1M*o8Q$H4u=XoaER9r<{?CVF9M6PxTw%pSmgD& zL(WjZAJWHra$D(jgL0cTg(Il}eM6U=o}F=r6}(c;22+?n5CFH7pSGEYoZ|H9^%v*< z)m*b-w5hu7S%Oyt+PZuJ%Fmi$1O_q*^7ZRRNh#JheHyF^CBS*b5Td-;Wslebh2aPs zp#=f_Mc`KR6?*K20lzmA^4S|~k$L=X2wM+5pRC@FQkVwkpd2KOzGPSSFqCB3n(Rx> zHAe=Stgpk~8ivzE5Z`sGlw|ibBQTIj07mc*ecxf&6KJ7-mYaR6e*W;>XK)v$k6!yk z_8CoK{`css4`g@f<_x=FmoMP96^20^uq++WMgiCi^EvE(zdsc67kTxTS=p`39ynob z_rr!5G)xB>mfhDNEW01h_yw2cKB8Z@I@@7(&Kzed-Xyi}%&KxDDBIXx$b@7DAk%;t z9|$p;>Ya;oZ_{5|nY|IWH@cD-s(HG)3%$U#&t*feCLD7Phiw6;yAWKT5xX}GQ-rHX z|LkM%Lpc2bpVRFTeh6-n2KI5l0Rg#XmukBz`y6vAu-+@Dr{ESr)2NKeItExb00Wr> zAjg+Nj@Hy1>jylVo$MYR`$p`=A=oFifseKjdPmp;UKH?5c%62S)8i{F40+uRl*{P_ z?E)tY*!p>}DS7NY<*I1zE#}0*L8e5V)Gq`|zpVRG^b3K3Oac(-Lm^NzrC(?0!Ns6o zd!Ej2t4A}lTk7TOvKLYl-OwkuW7i^B(?eU>ypduLXcssy{NPrxdF_Qo{)ipCNFh6& zK{q?R;75X^@iPWz_vpl8r7gLkm9hVO(1Y=ye*Nlfs~){8$3pGYJG;ARCu|x6Xsl^E zrltY0-VtIQ(LdXgecSp~#krYc6d)Pw0XQHZDz=3~4(Kah@O$|K#SxpsU+ndJiXwi$ z(}8`Zwb|>n`|K{S@OGgL4fux<8%%~3`j!W??dGzx%S~k+r9s#$4xJ$t^ja^y?MP+- zl1%Q%wETmcO^wAnc1UyfC4JEL+&p?mB)&%m9mY3|6u_hO+K=5t%_E3+Di8q4G#hwP zaVW!gL=?cI^hq)R$qax@W-a$*TAqkqzoWcfL_(@_^zjGJmW;zW`e>Ex^mvZm01RXj zoXm#6fi-!I2kWu=QJxZ@h8bi~-LFLtk{K_nx5hW@Fz!$#qoN~#6jbH!UDB7)#O(rt<=!+J$MR{_+LBpK?D$^eu`W-Ygi#>`balz-^mz@r?( zb11#RqfkmA5J**_b5W_+1|p?3^r~HttJJ$ufkN=_gAz;}l_J&cHSZq(*oWl&1)kPR$CK zfdV9uA_M7?86+#=Pf|3LlR7=>mKy#M$ccdzE%n+!q~-`Tl}wrXM+0;9lWiwCU9?VW z%ucF_OFt#rN!D_C0uSvZ12B+DAnYVl=K7ykXFu>K8A(EthDK6whI~m6jikiw{PHsx zNd{mblOVr+&WE*3*o>Qj_R&@(|iVD4UZ?Vk- zZ@hwi>o2wyIzw)o4@&kG`s~Gyhzk!Ev~C7Qz2CbuFS}Pe2Uz!MhM^PAUF$D;a^EwL zJ7b@gQ+BQ31i`BMn*|8>OBjKHOai$Xe?=?UK7@k=OHAFss4lV>yCb%+-v=kd!v3(W z&>o7|BJM!Q6LGtpA-hX>dR$(&KL7w73-FSMm%OJ9IraMVmd@PG=DTjF*W70=6wD#` ze7NK^XW)O0z(6L!iR0Amr=tPsI|NP-aC&h8aBAC`&{{L62wr7Q*Rb2(S~>X?fR2FfXUotUug3?%@Ng!VC!C~1$V!>#|R8$5`c0p6F!VR`W?3H zN$b}-a$1X{0-cMz5ifi+!4`3b{oua;MUmv&w3mo97xgnDKpx(xv(|tJfZOt^Vewb<0u2W6%?=He+s_E*RT51pV z`gPuvEtSDH9%=G(_s^yUO}`$TOhh{TZTiKjrZ;Hjh5r&2!ID=;zwv8bWl+P1ec16S zv&DLWVPsjO!^p`N8OD*LihT9$BS>U;Ip*jhBN0l~ZOMqEi#(Pm3lterpvdRz(eBL; z;(ymIeI%>O^rJp&f9`Zk?aMRTl&pQnB>w9A_vg;jzaMD1b?NuHH`(y?cUL&s-3@+c z!w+!}_}d+Re}Uh*@aqY`UhwnktG~}}=XAlP8-5=6oe4i5{QU3>z^^y_`ogad{LX{l z`S1&x_3eYQy4+Fo`MQI-6U);1sVuR~)2jwqs`aY=mWuSJ`}w{6leF<#CH$_`CcyP@pqdVM$7@w|b*0prKAMS^Z@7?~3>((%(u=Ab z2#kCmwNz)=fa|%Z>OT*#^wVD{wRBFW++T_;53#`4-XSyAN^5xPr16#GubeQ+I>uK3 zZ!W{QJ?`28gTkS*euGLK1ta@HFL8iN(oS0y$p@qQI=~ZH;0ib~iAv!-S_)?Yrf}E` zyfJv?1d?c#<0nkHHaeu=Ku5pQNYTi?UOypczewM*Npq?sphqspwUMHs;UWE^$W`B= zmrtEyMH*|>bSr@rDbOWpl)zyRxZxGn0FCqm29>aEqxw2w2d2OdTQ%t-q$Lq4P=wSJ znopmxF)QCZXn4_(0ijX)+qGGdn2^OK1p`si#q>FkTTE;;ZmdScbhzvVF?e^0-rq@W zDPY=-E#);q2K)e93Qii^;SiuPg%neyz=rZcvTKJH4JZmD1AU7_1BMn|3j!An>034^ zDy2sa=wB4kpBb3dkq!*%8(zvfWBhMc2eJe@aNMn~2g@vRy}HygKpzmbv`^Ri$|!Wj z$L^ZdJZ5@aKQPEL!c@^%H`+fK$X4nHqLwY@>A=z1@Y={7rIyngWH%J(o}lcW)DH}? zlr}eA4&;}@Z?+-d_*Xc7>H9|l{TigtSqn6WbKyVur>|}Y=Q!I8v&@Kr{N+a$ObFp$ z+m-bF#^}78u4;fGRkZEGtLZ+{Glo729ShG2z~-W%<*9*PZhwIX-gPr7IHvFgAPY|h z?h$F{k|MV^Hl=Ln=%4|5aJa>*-#gZliC3-lJBM33>tn}SvP`}82gbpT6_;47dcL@E z$9TBWVuZz-Sz0!H(2$bBBVm)y(ncRJ!qT~UNvLRGX<5-gt+#%|B%oV_bo~aDm5mCO z4HtB80A1$5{)N%v@Zf>sR>o+!ReZsa($YaAi}iCxTfCVU3>!4KY(!KN%tM00veH3A z1`ipacOD1D#YbDZWcDiyjVK*j5)rqK;H~K3P+3XI@FH>RyfJWVKykFBq3^E!mLN=nL#h7Rj56ZRf!>7s8RW9g{R9SikyKVCfx zSDDf1@DUe`3XP!5nmYp2|NE64*XVI_2g$PJDEa^GAelO&9c7R#OCR#E52-=Y*j0{s zkUXBb!0S8ix!^a%#3}D&w5IJ?#N^pqPV7?SaflC9PLLAQyzcuQ(>lD)iOUA#)Q&Eu zlGFwhEeYG)uwgFed!D>uDI+&5lT#ozJDhIz`0?`=$2Om*3zuxdG~oh&SU6ZHl@$cw zpnC%e-CkxoedPt)$6j%I!5}4UsB@JZo0#d#7I?4lsJi-lnz2C>I^ZlxL7B@Jpf8Lt z(_&T=?=l)5(5!|@(o@g^)1OSCd5%R@8;U8GZjG%+{-~Iqf+6t5AUc#`6w~7>aCi;t zYbm9tAU%PQQY8TM<`|ZsX)5dV9JM7Kp5LLg4V%*EgyrPWfR{WK1{nih2dzL;HJOB@ zBxxx~$dnXveexR%?KCNq{W7@(cZ%gGynK4>VtNbQ^zy%P;BkzE7l8`w-~~&S==j%S zpw6C@rPv7+)phKmx(mGSh6W&r>W5}+%mVbogT^dCd=IKY3Zc5NP_?@PXmFkQR&^h{ zsNMp2Z>ymKk90fWYY*_U(XqFx8{dve8@+_6zCZz--Xc-q)X(p?6kSKR6o1Fu5-4!f z>}J$or)#LkQ2;N`rVHwX1DT-L;=M5L!q`*EMFj41L)D<5P6zaDc;Oau(x-3C>hv4B zn?B-#ZI4cX#s=KqAtfCAYt(l!0`#ph)deyAjjFEG*+>d0CO9vo@8X!|mb3vWWf_$2 z-}oJZHeAv=T@HMcZ-~9YsOyAdjj*;!Uk+efQW9ed5;7%4WBqgNZ`$*&|7CLd4KI$q zVXWmeedeW>eBC<^Y$-Qh&BCh#li+F%TxAZuVC10Uq5a`q#&MRm`uF3&ybAXZ7nhcl zlC9QOcU=Uw*E*!1aS^1v3a@JM%8ysy;?++R;i}+bxOxt+rd@35s$VVf#48hCoi!e=UcLmbCgD}fi{a`ayn5#%xY~(V zoAF+kOW^8uyc&;JQM{_btGkfyQ@kp}tBw=kstsO^!>g_1;Obtyy73aQD?gb4QbzFV zjEQj746jDx)xXCB-Li>5w*ap`z^e=Ks_i7W%EGH*c(th^j{^P24iUqVy;r-!o^EWOM! zz;rG8LOK`;-$750`nPndrONaTIa0pWXI*X?+Uy#579$^uF`ZsH%`z|NJ9tWNCHSj9 z5YFnMSD%~JR9`jOGDNTGlhtf}vnwpun8<_ty?$US_<80vme>9(!5{Mj7TfGc$mvG? z>M525OQ(Q*=!L$^-n6-1eWfLmbpt#sgWt`+Daf78BRTVd5Sj8m&$_Mo TKe6^Ymi_+%cj~;| diff --git a/pcbs/CurrentSource/currentsource.SchDoc b/pcbs/CurrentSource/currentsource.SchDoc index 1aa88f7657a3f6d3b02789e27ac4add4c4304cc1..44e09232d05708dfbc8a209fca79f6ae8e8948fa 100644 GIT binary patch delta 13122 zcmaJ{d7RY6mGA0dm}VH~Kp0@UdxoJ07zTyz{`Id9WnlWAKBn(8pa`z4cw&ra5C%1x zY@!@5TDwV52943g12S<@qUfkmW8A38nn>aSu5sgm9MK$Zlzp%2*K;%`pZTMwe)Z~A z)vI@Ys|L4D9{lgx-4{)oaxlHJyjg^!{vT|D6G2&R-c#+?Nd*0cBvEQSK+cp+u_=&D*Luv!p~2paS+Y$ zyauJNS)H(YrxnZ#uY@;GeW9tU1NKhOGL;|AGdK8WSn9KZSSVKZrFFPblE&9(6i*uR z(TqF}8Ck*P?l-hr>Vw{3DV7VCbocQVJId$MnR8(GQ!TLd$mvd-io&<`I&I=uY`6eC z7pGO6i_L+jgvUdDj$T2D=VP<#ZmIBDHJb+Da2jBjBx3j&NyGM8S-s*K&d+LXX4k{W zv^IF-({*s9+gyDDDvIINrn_`H-G)ripUeb$og0#H=(OoDr)PQ9M6|N1iRQqIrbc+8 z$2MDa%Qbn#nw%P`b8Jm>V8`BNZ8qwmwL2x9B3XLI(=B=AWICIqQ^(V-e*oz!^C}bO z9Vf0iaHPEv7Wdd@R;HF>b81s7u$s5Q)}GGoe_lSeJdH+7t9m@T`-B$$_4LKf&IwwG zdPG{7TfC{NL-kMx|B{2X4I7sj>cE#6gu9$(IGAmQBZ=qvdLL_pCtPMYlDvXFFEw~} zZ!>I3?PB-9(5Bgt_AG@>>zaV4gK)@`=SxaBBA!_QSDoP!Y<`*8PSd?vo6N8Po;wIjZWA$+2U$25qsWU5$PI%MphmLh! z5KqV8)^)3J#CedpK!IB`r}1l6GNbTEuU}v>ctiPta3+v~ZEv(ePj)Tbe4zqQXHSE7 zFH9J`xk4b8PZVI)2_u}7>xFM$v>xYa=I1Dkj;IOxEl7K=bAn#o!^$= znM*9gM+?gs%v;|zoGGnk@X%#l!>^aE3~Da#0_%!SwpbgqbW}3ueWh!7`%09|i7UE> zPdnSrAb*u*c=M{W7`%G5WtgpA&cJo8W%%4RK?Zkz)iV5xa~JC2gX=6XbM0KPZoC=x ztu;Yns7bGQ;Ap}QsSkUgZ_~BlTQ>t<+SJx#pWyBk^xbIPiC|`Nsn_lvZJ-;s^W8*g%j7CnkSBR*x>O&yWZx4ksHm-4tq2oAKq-Kk46)Pe7023-6ox*#sR4g z&S)u5_rabJsWu*0Jlw$s>i-*m&n zH&_h5f;XKH_`GoNhPHpOUd0V-ZahnGbHlL@OfB|_o59Xw*@ZCkYnJ+QK9ccyQwiP3 z*xL7h!`MRN85iep#4}$z8`<%ZDGd3Y)SJF3ULTHSe8r^Cr+ea`^YXxgn^)4(P?WId zO%MG3W{V+J2&ejUnbPQP!Au))xo{G{;uFXmN9uq#WSAxC8pWnSw9qRG{;l z9dP)YeKj_h9jxCn*4R9D*xX=)P2YM)Z}Y$_-!s+PJc@23*fyHsu3N5!y&Fv}wuy&< z>#L~lJ-1#;FYZ=v5=?5SV*@ng5Wmv0`XWX9?3>w{kAq-v=g>HWu>6(uwgjG$fS6r z>&KLdk|hemO0Vd{Y)Qcu24$jj5G92U!G!g6z?PZITdf#WFyFG;L^QH`1P?1bwB1-) z=HTb2z|zBwCyBxi_{Xd;bg!woT1>QU;l_Rn7DK-`m_)73!|v^sz4PYNnc%9o3B!X+ zzr9FrRpD5-2`!P7=GeC_hJipVk_d*QaOC*dqIc|VfupxgZL!%0RswDUnTT=6G5 zSSQf--nxy9X*`vJM^01=Zy(#;?M8TWMl-71{kJPH@{yTuJ)Jed{^z6{c5;g$kqPzt zeTC8l*;PU`rUfKFo6I7pg=b*5^C3GEn?3l+s#&%wA`!=N$T*@-_`E~L{3=?>(B_;# zyWlUFM^q8Yle2krH^Q;wv*_>+e$ok3I~!sDQ>RR`*(M0WfwCq+O!})yi0ja!i%5fE z?XyOh(@+cdeuD2;rezseDE`PU=7%%yj8Ra#!#tt8R_Ye5rv4W|_PFnxHb}--C z0v$W%R=Y;bn|G{$#vLutcfbM%cbL1-ut<4jqEGsRf>Y2{k@8YYL3M@BJ38S09S-=) z9p)}nY#Kl$m_tf!uNr_la7PvlcbXSSVqpwOjIm^#X<#`)g#>1(_whpuFy8+94i&z4 zr>PfN3tJE~Xk%oKOATBQ{*X0M3$2M-s%&BP!8_-(4*1tQRfz8#onK3MHPe*5#L|(O z+p4=78uuGv=FU^Wnx6^>6ODR@mGADx;P&;Q`EdVE2fRIGQtW8pW9w3+s2q}+XyIn? zBd$!euq;x+wyOi|L(|~Np}BD5E;9@sm@!GU>i&c8nTs2K0DC%iOoqd|P70kWZ{LV+ zYv8W=klIB@NmRxb;yfZlAz6*P@`j#`E*QCqGf(h3(C7;)6!LqKLd=$qz9sl)`a8<|XKrjJO@)@wUud zHj5aH(-vw8`Hj(?HH#K~GL481qr7C9WUcViqKu*lhorb2WBfX(PMWFltel&I)fLSP zL-(61%fm9MGW95#D#`2>cIgLjc?y0dQ@4}qC>2&JrMh$ifbObBaVYTW;?rQ+gDK*V zpHSS&1lhSorE})K4w&*#7ZDBa!||$Bg#DNH{S5rOyY#9JS~i*{skU*7bGK9+z1zwU z<(OBbsyp{wqgNfHTGQe}s~mSwSXK@GSVis_#2z*ACs(kA+qbqr=@WC=`g^}SU$iPJmn1{br#tf+cCzkqnCh9Bs{ee+kk>hhME>!(-OTYpS zbwzJi;gzRNdY79sAC~v)A65)8Umz9F_4|a7RmZ5V5CasnR+o#Gy;pTpmSDuqBHdZD zXrCw3Xu6{Ds_2TwPn8*o%Pn+8)pSLp-LZFht7M523D@8js-j9&(WsU@vV3M0mCzK` zEj0znNK;&Hp(*%v0w+yVkm%5(r!{*fXoVz-4E7vBAtsl8%%l%t_yYhgh;Ma9l9UdZOb zCqGpo@VKeFVowxhkXG}9)WX<7Eg3=vr3d}$V=BD%xM>!-L3Fyr5(i;JI9=T}7-&q; z{J8Lk%@bV=)l=PArytor8LrxIgS(OH=;u>02t1IP3BP-98uqxLXFrAtk*QmQf1^uxmv7mp21AB94lm#<*=x<{}BTR!H3owI~F&Xr&eV+ zPKPMdR{qRl@caD!{%j~RfmstSb-e7r@T?6}-EC)>DFt4dmpI(EXR%-`rc#A+%qI%_ zTOM32s=!+GqC)+3p>1iQ>|ii=(@Ezv~|C= zW(xe`*I2b-fsc!@xpc^yHcU$#u77m~ZrVy+^t1dowCNvjP#KS3#P&k}YZfHLYOhAY zDBW_P9p*nFE%}Yt(2}JAInhLf0SOCoDroWTQ1_&?;Ly#1|7>8i0_gV#S=<42us?IySco@Kv3dGpjK2yZP|&0S6d= zZ=R>kikxXy@{fpaQVUs93t7^}Km8i?|85$bGo^u_vzawACp5i&RIk{8y^&l_P8nA& zT@V)P#6vi2;vtY+(ZV#BS^~)xX)aN!y-wKjh8b#q*2I6=fY6zYU`_mUG;a)9WFO#p zwnI$I8-1voWX#`v6N{)+Ia7)ksQ@;PjlX5Ok;XRaowiZytikY41}2%Hf-i!X-?A98 z(Q>35jU`1Apx|aG;@do5gl%4a5K{s{&|F2JZa;J}cHQ<0GvYMl+TVf8-tNM*8`Z_k ztd$Nv)Xd(2z5mmNUXXwR%q(=%;Lr<3Mq?EIU@hAZ^?$H12OnBX`tER6hGM^+pP0;k z49RzCI9ZY6@Km~o_q=OhdR3SY{cIgF!@IvzVbLGW;s|XNf5#oKDm}i?AEj5c@MuW4 z9K9-7F%Z4|d#RTH^+$87)Hy+g3PLSBT&K|9KmV@^+4nN=AAh7EFcqG9ZxwXBM;U%6 zKV>6&=QZ!21>Z++PbI^j-v11>22)rFJ>0}U%HcjzAKq1?IBk>wh2V~@jV&&$K*oDC zs{lU~r$C+bF6-Fs!v7q$7@}EUJX4Bf==|}tN7)deNPhT73-y?OqhF>^BKeth#9|0U zeTaE^g981)`A5+YnjRHd+p9~)QcaOX-{_TuXrZ()d=vUXOeFfTY^F=XAF5lxA1(=h zU?zg_M`g2ABQy{ILZZ-Pnpbc%yA4FspLSmK-7sL202Vj@T;Q`g+bZ7n3MaVLiv(WZ~Bc>5xS?~r*T8E)Mt7DGAIU-pJ$-U+gk z=!X^44dG4jzJXL$wCKJ?!XHA?hdw#`Br1V_;242vXjF4F0OTL=R6P;_8Kou&qS1Y|P%{x;Ii(l!T1tnI$(mSgPY<t^LZP~l!Fq^_ z)Dxds>N1&rUo4d2-Y=26tZ>DWnJShizKj)1slG{OROm}re+MlW-Q&7b;m>Ez1IGzO z{(_-YvCyB8J{$LlGH#mavQ=3BXb1fEL{i8=q~sG^IxYv#;I$XTMVD~hzp11# z2$PM@xaZw>_+JdHOG{%Mn8u8JdXqrx$?J@>C#RKvG=&|Zlm^e#NB*1~l}B74QmrK- zF1FA^QHy>Ybf$t5BQCX6*}@+}eBWrRaoD5<^Jk~BwiX&VaY~H6HgFwl$F*0kb@??7 z%u<)l$Mf-299~&xtT4$ponEnnaD%sEmyF1oZ!aulz&E_+kSQj!zX$uUTj(2%8 zezIG|8R4(iwHG6^fyoT6)?vr6%=b)|P^%W0ALqZF#=7{~oz;v+Z&cL~_0waCEUp(1eEtCU}lM#kdynp8}x3X&lo`KftRK(S=N*Nfs zJ!)aNl>ysnN6$DKutf_Gm?$xcB1$}26|EJ|qyi}s7UkrB>SKL+#5Rt0oAgeFpUASA zc!k)>|1b;BAyG=lqxob=YD8sV1xLU)$ zXJ}>;FmWQ#GI5J^1N?X!8--M=DZ~>7zRt*cQ3xnnoJQE<4^6D~c0Jw-PpV;m-?n}! zUsB7KpoC4h49cbWQ8S*&mdUJ>i31~yZS=f+9oYA39qSbzwPX7F9QI=yn9Jt#(_5KHaHxk;m>o_YwBUE^T>Oqe zG{4ir5U9iyoeY$onV{397FNwv%cIk!79oy^EVuI)=i=&{yI5ZrW!pFet&oOL>!cxs zUbpiLEcnjixvsHk1Y^>c@nb{_wZ$REd`@Fl%)>F1rsF&sK%SIBozddIhS z;g};hW~QL39)mdzt!Dl_q`G20GtbjDK*~D00im80Xar*wlVZ$H`92ymWdSqOMIvn) ze@NY_QW9v9aAefN4UmFUaKaX;yI5M^d}Qz=WH5t}ck+aCQjGy6vHZV@#@5Zuv+3*T z>WLptf;*O2IlpoNJC$3FY$}qYi<-$F>1JX6*#b<&m4#zMCX`_8ES>TJk#?4d=#VI` z?`9%z=Fg2Er|AH#AE(vENqJy4Fm-=B|D49n`R>%JcPUP_f}*q-0+E0>70pDjx-|3c z3vsFcelat(RccG=E)*FEoTI2bzp;l^V0j~@gB%SP1tg`8j!3WdA8#x8C8R>;TJjH$0ee!JPB$hC+HE6E5dsd|xl?u5nPXPxXva zAY@}0C`2-aXv`1CmbUQ+mtkRtY=$18heXCj)sy2HjUqXOT2~`EGy^3v9ytF@yn#4r z=@mE!Eqmv^=H_YwzWOVy$B;`WiYZ^w$2T`HQ(YpKDf{!9`}}9z1=eHJV~U9P0VmBZ zupUEcpqvON!h*HY5D6DE{$$WAUGq5JCoPPiA3b^$^6*%uYS@pVRPcr4vHlXR)L8G$ zlwz4^prCsg-@vpn7q<~mAi6_J5e44L8s262jp`yoNL?&>d zS%!SFSj^@9QQqih-L)uIU7L)W9vgU{|65%s9!SUf3%s+InfUZ})=7|1jRSjEU+J!3 zMNb=|sbsnoN<}2y+KwtZ!j25SfUlfRBnBkS{d17U_oC!Ah9B)W6awjBA&~3mBSD<< zcqhh%*3l)czOom=q`h^4WPBh}D8jLR69%k4zqv-WIs~pnOQXB{!I$2`3Newx#{n6^ z3Wfqi%!Zon5ygPpn!@u zrU*{a3WnJRhk&R4(-7;A`U9x3a;GD2URI;x9RR(*KzJe7jc}{4K9|ns0`Z(zCoorp`!I!0_0T&NqR4@f8M%7PtBHHa_)wh86KBu4;srpEiq+n8pa> zON7$7SSb?|Ds3nh1K~ux(9bvbVdgb~6{OB+f~{G*9xE8EBj8DAQ-wz<7)^zw|bAE648n6-TQxFH&f zCgZ+XLC)w9z-q1PP<4wdyu=F8>T2P`%TIxaE?-4Y*bIeeFqlbYLt-30=0bZwXG0(^ zaVCWH@Jb^yWTK^D4)27dqESsqiiX!<;|1`sArT$G60qc#t55|btEvuN-{>NU;~T3O zGkDYZ%qbFzXdGQ~S9qUQ5z)xYy|Dk9Q{duX(eE{ecq)ijm`TAK!8b{d)l*Z7vhdLZ zsD-!I{M=CN&lmCou`qEr3;b5ae3uLew}l4uJ~N=V=noW&NkO8Wkkcum@THe9)e!b2 zLh)>T0QQ_deU_aNBTO_Tgj;KlH#SdEbg%-EytxnIhFFhdY$5#vsI6RAG+5pRtDRk#}LW(M>C;tKpJBxkcy&4 zhbOY6N7L2p__o>1hMN$fkl)$On(hkH}fHxhLrtZ;99dA=eeRixT z^T*dpubcVbr?B%4X>UH2=^sc{bcaWZYzA}~miio&38V5z6an8kP=YF2Se?k^+aXZ| zL5VsfQ3TOaiBg<2KkG~EOc=cV6ll0XBxm?!kN7Xx!uORUX zpZBh0i>Emp6W{}cS_nRf7=nptI+FFrg;snasUm?pp%ZnbNIp7%PsCR5LwD?vaE;R{ zic&)|+#fCHV`X^7YeYDk5(vargw`O5REbO~p77-|2#*LFOdo2URu`g0_-GcX$Es9A zFc(Z^GT8`G)?i@<+rr|v!Ve>WREj!ZGU3IHnxZ{Dl%l&Pr%f59L#;5R`@I99OfW>u z2oA?^f_Gp}7<%8#_iR94Rq#d2{?I@cjvbgjkKVZ8;fpsvvd+ZA*IodrT7@dh!0dH6 zHdOQ`;?bm^{9GHEvrrsS5s8)CZC70)5DX=wX*o)I$I6T}ha9z$ zo-FaJHlp9#nQExQk>u;8w)WNk;%K#80_*wJ zn^|vlIiL+_u6`pWrXq)lFGH=8CjvU6Y9%%Tu5frn2mKHGG8+GCyjJCNZe(xXa}(45 EKV05Wd;kCd delta 14449 zcmai5dwkT@m7hBSGD#qrfj~%RCJ+*Bc+YQs^8^CSdou6$qZ%V3zN%uRYIRXStF>BN z@vQ!;R*_l}E8-(n+WLZGyL7DrB5hf#Lf6+?E5xmzU0snq=ic9hNrLu|VYqY8J@<9a zx#xV($@*vi9(nwMVvKOYV_m$J(+iS~k(L2}--5v{MTXFKUCo)-8ef?~KaCb6FF!z>38d z2-TUDhI5%74EM}|`|3J%@u=4uju(pRfCBY4X{|2MXQqK4nS7_7kKn%gJiR>jU|1aTyHY5pxk41L%Jb*r3j>qNPh z7T3sZgJ(?fF!lfNXmghT7ZzMT7o1Z&>mz|;A)kr)G(U#T$EL&DsYTJh{lYr`3}j3=O*>oB=t-!Ys=OiTjfmgyk*gc zXG)YdYH4ocEc*Vmv`w<%031#O=t_`;59cJUcTCS}U9Q3W^l5tdviak7KPBSb7FLeBT(ZGA`pJS2z5@)Cjk&ms)KuF}It~ZC9xr>hfN` z9~se)v^<9(kp&}nWe1zal1PHYu96%jlFCH#h>0raaI~E-r=>ENI10Numkb@7+Mqmt z#ne&#d?Fe4&X4NtBZS0eAu)jPf^}NE9hToYZ}8Ivvuc$mo7pU-eE~ZQ6o*;ql~EpT zHl=?myFwR=mwef9E(`%+ITkv>!+hiIYr~a`nk29axfasdST-> zlP=QhDTaLMASzr$daP~C4t`PC%!kKgi*nqJ<6VCZ^g{VjK3$BX` zmdxkGjBmXSEIkvL0|pxAw4|efP@%Wv9eDr`s|O%Pp~e|;NLPy|R>}u`DbGmaR`A@_ zs&(1mNH_`oPu0TSo^zr9rz>^kbSM@nC(AIj%rv-t>0+h}hQq;FD(4*>TDFeWdK1~Q zHx^ZfoXj)`9t+cD(q2!_la0XsfC=9BcEDf531ry-KRII(^qMLtTyRM74p8cBK6@~ZjN?q@#wP!UpSqjY2fwvE3n(~du821v|Vpz^sw+iBV6ZN zghI5K{hpuJi#Y>{3;uc&Y<{E-zD&j7LU#aulwJq(7EM=fFXGVUnfdVLL-k6$g>}Hw zi#m1QQp_I;MYFK$C1QLY^e>*STqYyw71{Ypzr-eh<~=O!})SLUEsfbRy>cvWuC6V8_E_2yS-iTr*C#J!6JUf0G&Qq{9S{AGp}Uu z`#{&=+kI9B?V+wgd;ggX?hAJfK7IC524D7e4Yr))XRs>THMnkNw-%m=cfrf6I^d}- zsuEv*o*B-{G{cs}+wkV0>~&2ZKE&9Lv0Zv4L z{Y8tR;V~nm7B@j-Muz{qka+d!Qprdr=?O;oS+2Wi$uN;GD?{72h)53)X5+e8CY}tH z^B(xodq&uF`2x;4om-8w&gcI>o;zop)5;I8jk(~m$K919gf)dOWn+?=$4f3*5NKUi zNH3HWqm%80gT*f9QjP?e2?-pU2p)eM5p49EcU&W`WhHC)<`bv;6}fT!Lxn1st#t>N^UqiZx(A-E?UZ- z14DmjjnyK-(37Td7D=V1k zZaZhF#wuB;ON(EdR+?~&gU_#=q}5s_=(xf(-YUtOiR=1_vL?idL_%0>_nFXaD**@GrAHsn))Qi(Qz%#H2 z5*HZtqlVhy>ImM$Ce$HLio09IVurXJT=4ycWno=KxR4wwW3u_apReHm&R+O8_4o-UVNL z8`Vzc>Z8T4+9 zt1ZY7;-qh}uea8;)+=8q@5k&K@ky0OD;u=8Lu-1Z$4u{hFfR(^@zH2t5X zi(TDWpNR(jg^;hT`8jO7zg3GK7fV~nAGS$O?$BCg?!}Q7qU&izDWMpH`s5xfewEN4 zrWVE+vIRXnqu?K3-aukPUhm3Ik@0uE{=!GXd@jy>uzG7)(-8EL5 zn+q^PnD2T9obewVsVP<#uW z{!t9YCEDGZ@4|{}(ORlJ8#)?cL3)w_AEFVPM$z4{h^UmqE7?3%0G%j+t#E9?c(~wt zR4rtIx@WLr@Kk+Xf=YiwU_4T&dgb6REIfR}yXdy!`%+_d$jZ?=W`^@`oUF9hqceqq zz$7JEj|AQuPlqpVw82kqGc z4qn@Dg8%-(6n<(~-D>JqgLiJvD0mlprI7jP$@NMXN5YJ&vJ#1gBI!Fg$-?qiDzS(FX zT%vk6HrRf*1D&w_IkSFD*RCJN>Z86yJm3i?HFtAcyLa0XSaxWV@@y|_8a==YAKc?a zUPqcuW9A{pVK$t0d#5g#$RvHe!Cu&WFG?^jtN(Su4nEE`P9h=ame;#t%_>;`yKcmY z6i*cOa-E9=hO_D0hz2eb2zgV93>i3h?vW09D5eu^a#9g^iyz}K5rQGp=?KOWiC2en z5^#uRn41t~!aVq;*oL_wBGOXfCT`AVK?sGWgqfR6Vp*HU(%4m+75^$|5EH@4@K-Vw zR#LfPK6V~ajNiThPgEXpb20}tF1|AbN4M%>>5_K+C<3%_hKf;=Xr`k_ zfs+4sD;(eaTNqHf;OLVbtV(Wvj&ft!+yKWOYSiLeM2_{VKfYS)#15&T_e)Z>uovIYI) zZqw*FB`yM2-rK3mMM}L{uP0BEBo>*2o&!yjNH?lwXf}e9N8T{Q(gS>3-*EP795QiA&XmgQVT&*3`)o;QOO>@Z&?fWk0rB?AR|FKzDcTZ z6e8lZaygPEE=LuPFWzBuN#jzD;AOxGW(R%2I{u=qB6*mnK=_ z(lpGAOOw{>R*sy@=HaoAkSM%y5}4;7NU^UVkW`8CjdQzEnl|rg)8a13KRv$rgBn@F zNb*@TLmvSjhNVBVLFqwLOU1H@wdr2)clw>{C2Wkc!cu2fz6CN^Ez*Wu}?K2q*K9A-a@iwKln4y0w z`HF16QQ2<57vTOa4e;1Qr-S^k3H^YxR!;}rmR>mYqA;-J4%*~9w#-DjJE4Ck|J5Mj zI;yk;F}jZ1Fb>-7fIScQv#o^fpn8#2GmVPZY~-rU=8(n9TkF^!BCV0}eID96=ai%z zG^`H>)1^{89@21}kPl$#MRo6r3S#|9vj8Hvk^(6m4Ni4MTl&enntY~ z_J1iMGw%87M{i2Fn)yf32@d#*$$;OBv4ath?yH!wZ4$ik5(Xx@My({lUG*-wWm~5% z*hGt z%I!9|{t&H7{N8an{XS6%o7r@j|9IzkJX=j03bK(o2L~QEvKm>&_v=~HIGKPh{yPjj z?t+>p|5hX0B;JK_M|Ib#r>G0VqGwHr9|M6XGKOax{ntRY8Yw|As(`w;2^QKp&baT*aZqCqj#{se)jIeI z&==|PyE|<#X{TwLBpfQ6&|`8 zT6dXGBX{8ryH1C1?6pDkH|EpS z>4ecBf1DOXE#xIk0L}wLM{a`O@8ycAr=P186PE2h#xS7fsL_(;YJ@`ehc+MrBeFl7 zBTwD%7CK=rZ1L0$cfyF$j)(E$OJ=PTkq&$;hu^kQbINY$7_w0Oj&KGF^I5Ci@bYgv zby?JbV7SPYsKNttYWh(tmM~w2qh0ji;^>)|yYKk7PF=|Bi{^bfM26op;b0yi>0M)U zAT!`+|CX!}Xk9!P0?9oInvi{fkQ++zr!pMdbC5boHt=h>;~jYa-w|jO(&2I`PbL{k zjXLJ74_|;qf9TY@xsK467^ifk**mcA6~q~Zir|;%89efej-jridW>^BHTR2B zXY4hT-%hV9e$iD>3m;*^35FvY-a6?IyTP(=1{~Zcto`x5=BYx~I2p2_7P5ea`)0!J zH`(BZeHl2qUzqWseP<)YI#9=^!R@<@%6*er6Wp=C53c&F;MV!taX9v|+2Ft@sY-sh zi_`~?9{5fTI)`}eLAdz!MuP*Vs-}`T_JXk~LJ_CvB!fTYV5csV3`X+>e@Pjb&Wzfx z;U^&&W5F4@T^_?wxJThXBI1|z1>*VMB4RS0`O^tc8CpNHlBcxMD(QqpqS2wAFe#?o z!~5_dMG}lScnc#A3;8x}6+VQ^IBXo3ktL4HIBYzm#}-FbTE!m7Z=8s*44%uV)04se zXY?qBX6VOs(3!mOXEg7bWWG==lt(gLeyD8>GYP(RNP?R_X+K2|ar?(13>m_ycrG5x z5n5B(27xsW#|W?nuNA?X3PA;{aX3cc5#*c_Rx|Gnqk8#^pyxVV7anBw!=%XeQ1 zZU2c%i945GN_e@)jiD7el;l`*|C_K66m=)%!qHh2qRv|gClIAwP$E3yuyCDZGHkeL z5s!W;YSE91chG6vCQ%+0Lyb`}iwcx3d>8LI;`4>DrlFeS6Yq`=auB^lJC7S6*xb~F zZ*G-LokTLveGk#+yf0PsX5$nm((IcrpNA_OVf*pi8fJp-{e;?&M>euwT*u)1QGR5b zKSM_bofG~NJP9@b)md*tpQnx27Tl#}dpv{q-(TS8Y5Y8cpB?!5C4PQ|pI_tWS^PYQ zpXc%O0)Ae^&rba8!q1l{PMr911QOy;z>F3QJ1o10_&mJ?v;1o8y|NmAJrlamPIJ5>)2#C+|XdKk32by zSKE&sB{xF6_+Gp4A!HN@lngtWMAR&5$)bfu5CsH{Ag4qlNG-c)A?#vx2y1N@kABZ} zYCubzs%{MD?HH2Ctvg^cjO`;E@W>F>$i$10d@h@>;+fau3P*Ax2LRpop^p*%9o&FX!+kRtyALN z_$3C3%kBFNdu*b|Har(z^Mjvbhf{Q*<96gmWnz8huF*TFIw=fW&YW^3=)bsHi)Bh= z2JB8=yP`sZyoHxd?;l>a1C0V+Hns4wsfA{Mybru=8po@h_{CJ9G`)S~gfh$=vQlCe z4gJ_Y!##81^e<=Wg2_xgp2&$;p0gq}jiVr=_*tno%7XF3^3`U;*gok*Ojq)hLuG$H zFRmH4$*}0jhY08v$i)-NuYAbZH08y1O!i=c8E+TS zy$3!s3yOCX%XrqMywc7(RDD$k;@v9OPr$B)GgYNUZ;|{!Wlt^sidki$%0&LkJ4$06 z>s01+vRRB{vnJ)ydRB$Mj&d7pR*usu@7J-@m1PZrvSakCZH1eg=3r)5h?2@;h1}IOqZRI;bFi@9mC-#^u)+Op1||Y z9@615MD*jpFS3Qy!evkkmrmntVmzq_f$qw0ni$8imA@gAX@seetHSs1RGIKpfwEMO zYa3`{=2rT7c*8adEODmc6oK-Z9yua@t@K5ip79((QaRs%dXDJ{WsUyiIa9XzKD7?A5z9#GV6b@#!VhgVXnDyh@R~=s zBr{i+@+9;Aa5~2;t8zJSCY~!sRdlq%sS-i*QD%BIIHu`a@$Cy%pSxq6`ml8 zAfBTdB+*=W>5_1bcpt@C+$Lg+yQp?#F_UBNe2~PSOU{COSIDA@j8OMcWW<}lxK(6o z8Dfj54zy!9K@3G1q8*<+iax}=2)s{MOA)a{ka1rZ2UU^vA@)9+j8_Tbea=KQS1=>= zU9Cv8V?a|qXZ0p3*;7$<$j^vYr%o$#-?>$bVu0DxN}c2|m6%m_Q-1utR-71i<>ncr zk#&l=Ds+p-p-@RwNmrjKMN^SnA*Z=Z8Nm82jQ3A9NVv+ME@;zv^Wj7)nk&JEJ;w1U zMw*FWkXvi)GGVkI>hit0V%l3SVPTdLf3zzda~J|$_7P-O_=DwFwHXh3l@Y3kBT6*h zoN^>X37W0H(iH>wU_R^j2vVCQNYPzfN^@)NGTiQOhhF)FF6#C9qh&1i;7qa7k~6j0 zG|4K;!Ix}$rt`-_D7+r8O6ugKh@mM@G~-i2C7Vj^6gF8odm5XlM9>%4+FfelW-ymd zq*Gi&D}|fSh|@!_?_*5!O0GNp;v|Gs7Y%zN=~67AygiL|Q)TF}t#j3Wf>r&ssY0?8 z@T8PqwO|DR2|j|Vyyy`fAZ3NbrW5Q|fl+b%{%wm-R#d_Z2kW3EGn*J=Aq`(9nEyCe z7xo5{o>DMNdZ|l#vr%6#ous-8q8!QM-s4uB*8xW^F^Tb)q~_`pzIY}Z!XY$16i)k7 z;aE}8n-GklHR1~|syHY9#i*>eF-aFGh7!3#Dxo}QQui@Tv+x8j!$j<%HyO!C%gP02 z);%8CAi&5)$6?{{XQkS&;Em*i0Z&m}j6=O`2LYlL@=D#B&Q}hk;(kwArB(0SVZ#cx z3hi(Sn=YD3rc04rUL_VcKF;B!Y(0rEu4BLFliFl3-kZ;tU|+yggYTXYA$-P8PEY8n zTHU5YZAf`MUM}!jJ0fnF=C?aB^?(p9a^!Vxx=b{Yh!-%4gkp(A1hH}ukKkIG78&xY zH(jV0BnXxn6NMi(UfgmmTm>&O(<& zWHFV_#p%%_mGZHz%ElryDsSgmqmqnbSv`W!+XW_%dR1R4$GpBoqF1v=&_zo4B4Hit zNivbOD5UbqY#<#MSqsIIXH%&ta}N3gRen5ftdh1U^^C}2;N_^wr@WbGRGUeV=mT#| zBehe0US#dGjT3LUbfHqF98Jd)TxANyKq8$i6iDT&2R?al8gviM){>~@unG~O)<3#* z;XFP;e|UVFa;}l_p;#P+i#R*wu8rnB;b_*wpWgx&9LOF~*SQb=D1yX5YgdMm?BpZ+4 zTrgE;E?D){9$h@0jAVUTp*#)=4)>XA@Q)j~@(@Rn-*`b6@+Tu$A1z)LhvX!VNa*DG zj>^<4o_-DqgN0E#_kn)I6Jo9#KFzby6Qlhw;(ifBqaabuABKEsO zI-N0A8_oEV`5+Zs8<9$+MjVJ?RmdneqAOu7dNPvW`^Dqf@R=D|QIcf%=v?skU%#r$ zrV{B$E~_pMaU*^%2(e+IlQ?A5ZCLc&kS>x;24cBPTx>%|n4I>D-=u}O4a}kZjn$U& zNpGSc9x#W@Zv%-aqheO1sj9==I+>YT)VvCL@#uM|c@;EPzn7_U4ia=-4$?&9#vJ5ShR>_%y@07BZn!^Q1f& zL^qrNMULIV6D_r&QlXR%dST!GcIAm)E!DWbmN`>foYdHTx z_LE}t<{UF)LDxvV#=v&>mAX)%RPdy{{4Xugr9KmJg9W4d-JxB^Jeqd<;IPJ72;(A+Y3YGBW6*P(}vlMRbPzw|VcO#VDjxDbxUNG4eTo z%9{>FN$-c*p`(w%Fv~0>+ygxe8hLicrAvkqNl!N5=Obf*Ks1{4rFnpk3prJ0hI@T8 zlr1GTw?5e$EXVv&Kbl0!{C?F48Kga7T|AUdp$>S+An;|e4@l)#JTL_;9~{v6^U0LY z6X6O{aq!tABNi(tRFVYDD#_Ccrs; b$ry&5_=g}nHeSG1GiCYt?7S@(Fzx>XMYO;w From acff88a9e0f3a6facd0981c13774a3b676d5662a Mon Sep 17 00:00:00 2001 From: elamre Date: Tue, 25 Apr 2017 21:51:56 +0200 Subject: [PATCH 06/15] Removed components in inventory --- docs/bom/current.csv | 8 ++------ 1 file changed, 2 insertions(+), 6 deletions(-) diff --git a/docs/bom/current.csv b/docs/bom/current.csv index 3786cf7..2be1547 100644 --- a/docs/bom/current.csv +++ b/docs/bom/current.csv @@ -1,10 +1,6 @@ Article, Ordercode, Min. Quantity -Differential Amp,1827398,1 -Opamp,2305612,1 -100 Ohm, 2504961RL,1 -Opamp,9425845,1 -mmbt3904,1773602,4 -MCP1702T,1331491,1 +100 Ohm, 2504961RL,1 +mmbt3904,1773602,4 1k ohm,9237496,10 5 ohm,1353228,1 19k ohm,2303683,10 From 1aa1592536385c3b61530cba946e83190b3f6c3f Mon Sep 17 00:00:00 2001 From: s3xm3x Date: Wed, 26 Apr 2017 09:39:49 +0200 Subject: [PATCH 07/15] Update transimp.csv --- docs/bom/transimp.csv | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/docs/bom/transimp.csv b/docs/bom/transimp.csv index 7aba6f5..eaf4285 100644 --- a/docs/bom/transimp.csv +++ b/docs/bom/transimp.csv @@ -4,12 +4,12 @@ BPW34,1045425, 5, yes UV LED,1057106, 5, yes Opamp,AD8616ARZ , 5, No Jumper,2396303, 15, Yes -R 10k, 1160359, 3, No +R 10k, 9237755, 3, No R 100k, 2447551, 3, No R 1M, 1576507, 3, No R 10M, 9238115, 3, No -R 100M, 1605131, 3, No -C 10n, 2445369, 3, No +R 100M, 9236511, 3, No +C 10n, 1708707, 3, No C 1n, 2496935, 3, No C 100p, 2332782, 10, No C 10p, 1759191, 10, No From 23fdc2a9094e3cf279bc759e2f92f7bb4f972322 Mon Sep 17 00:00:00 2001 From: s3xm3x Date: Wed, 26 Apr 2017 10:28:05 +0200 Subject: [PATCH 08/15] Update current.csv --- docs/bom/current.csv | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/docs/bom/current.csv b/docs/bom/current.csv index 2be1547..02bce8d 100644 --- a/docs/bom/current.csv +++ b/docs/bom/current.csv @@ -2,6 +2,7 @@ Article, Ordercode, Min. Quantity 100 Ohm, 2504961RL,1 mmbt3904,1773602,4 1k ohm,9237496,10 -5 ohm,1353228,1 +5 ohm, + 2502734 ,1 19k ohm,2303683,10 -header right angle,9731199,10 \ No newline at end of file +header right angle,9731199,10 From d59158a3a11dd7fcfc613fbf36e1c4403dd9bddc Mon Sep 17 00:00:00 2001 From: s3xm3x Date: Wed, 26 Apr 2017 10:28:19 +0200 Subject: [PATCH 09/15] Update current.csv --- docs/bom/current.csv | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/docs/bom/current.csv b/docs/bom/current.csv index 02bce8d..8b39483 100644 --- a/docs/bom/current.csv +++ b/docs/bom/current.csv @@ -2,7 +2,6 @@ Article, Ordercode, Min. Quantity 100 Ohm, 2504961RL,1 mmbt3904,1773602,4 1k ohm,9237496,10 -5 ohm, - 2502734 ,1 +5 ohm,2502734 ,1 19k ohm,2303683,10 header right angle,9731199,10 From 4c1e5a0dbcee950f55a0c111c553a1ee2ea742bb Mon Sep 17 00:00:00 2001 From: s3xm3x Date: Wed, 26 Apr 2017 10:37:41 +0200 Subject: [PATCH 10/15] Update transimp.csv --- docs/bom/transimp.csv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/bom/transimp.csv b/docs/bom/transimp.csv index eaf4285..5a4fe3a 100644 --- a/docs/bom/transimp.csv +++ b/docs/bom/transimp.csv @@ -18,7 +18,7 @@ Pin Header, 1822166, 3, No M3 screw 8 mm, 2494521, 4, No M3 spacing bolts female-male 8 mm, 1466795, 4, No M3 spacing bolts female-female 30 mm, 1466803, 4, No -Washer M3, 1377496, 1, No +Washer M3, 2506017 , 1, No Raw PCB single-sided euro, 1267751, 1, No Raw PCB double-sided euro, 149058, 1, No MOSFET, 1831089, 10, No From b19ddc22feb8a8ec36bf5157ee81396ee503edb0 Mon Sep 17 00:00:00 2001 From: s3xm3x Date: Wed, 26 Apr 2017 10:42:01 +0200 Subject: [PATCH 11/15] Update transimp.csv --- docs/bom/transimp.csv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/bom/transimp.csv b/docs/bom/transimp.csv index 5a4fe3a..dfa1623 100644 --- a/docs/bom/transimp.csv +++ b/docs/bom/transimp.csv @@ -18,7 +18,7 @@ Pin Header, 1822166, 3, No M3 screw 8 mm, 2494521, 4, No M3 spacing bolts female-male 8 mm, 1466795, 4, No M3 spacing bolts female-female 30 mm, 1466803, 4, No -Washer M3, 2506017 , 1, No +Washer M3, 1377496 , 1, No Raw PCB single-sided euro, 1267751, 1, No Raw PCB double-sided euro, 149058, 1, No MOSFET, 1831089, 10, No From 92227ad8cb665f40a7a9601177e8ccd32ded5dfa Mon Sep 17 00:00:00 2001 From: s3xm3x Date: Wed, 26 Apr 2017 11:05:08 +0200 Subject: [PATCH 12/15] Update transimp.csv --- docs/bom/transimp.csv | 44 ++++++++++++++++++++----------------------- 1 file changed, 20 insertions(+), 24 deletions(-) diff --git a/docs/bom/transimp.csv b/docs/bom/transimp.csv index dfa1623..2267d36 100644 --- a/docs/bom/transimp.csv +++ b/docs/bom/transimp.csv @@ -1,24 +1,20 @@ -Article, Farnell Ordercode, Min. Quantity, Available? -BPW34FA,1212740, 5, yes -BPW34,1045425, 5, yes -UV LED,1057106, 5, yes -Opamp,AD8616ARZ , 5, No -Jumper,2396303, 15, Yes -R 10k, 9237755, 3, No -R 100k, 2447551, 3, No -R 1M, 1576507, 3, No -R 10M, 9238115, 3, No -R 100M, 9236511, 3, No -C 10n, 1708707, 3, No -C 1n, 2496935, 3, No -C 100p, 2332782, 10, No -C 10p, 1759191, 10, No -C 1p, 1759179, 10, No -Pin Header, 1822166, 3, No -M3 screw 8 mm, 2494521, 4, No -M3 spacing bolts female-male 8 mm, 1466795, 4, No -M3 spacing bolts female-female 30 mm, 1466803, 4, No -Washer M3, 1377496 , 1, No -Raw PCB single-sided euro, 1267751, 1, No -Raw PCB double-sided euro, 149058, 1, No -MOSFET, 1831089, 10, No +Article, Farnell Ordercode, Quantity +Opamp,AD8616ARZ , 5 +Jumper,2396303, 15 +R 10k, 9237755, 100 +R 100k, 2447551, 100 +R 1M, 1576507, 10 +R 10M, 9238115, 100 +R 100M, 9236511, 10 +C 10n, 1708707, 50 +C 1n, 2496935, 100 +C 100p, 2332782, 10 +C 10p, 1759191, 100 +C 1p, 1759179, 50 +M3 screw 8 mm, 2494521, 50 +M3 spacing bolts female-male 8 mm, 1466795, 8 +M3 spacing bolts female-female 30 mm, 1466803, 8 +Washer M3, 1377496, 1 +Raw PCB single-sided euro, 1267751, 1 +Raw PCB double-sided euro, 149058, 1 +MOSFET, 1831089, 10 From 678d4c2d0e241293521635dd4ab7d9869ccc688a Mon Sep 17 00:00:00 2001 From: s3xm3x Date: Wed, 26 Apr 2017 11:06:11 +0200 Subject: [PATCH 13/15] Update transimp.csv --- docs/bom/transimp.csv | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/docs/bom/transimp.csv b/docs/bom/transimp.csv index 2267d36..5d70f59 100644 --- a/docs/bom/transimp.csv +++ b/docs/bom/transimp.csv @@ -15,6 +15,6 @@ M3 screw 8 mm, 2494521, 50 M3 spacing bolts female-male 8 mm, 1466795, 8 M3 spacing bolts female-female 30 mm, 1466803, 8 Washer M3, 1377496, 1 -Raw PCB single-sided euro, 1267751, 1 -Raw PCB double-sided euro, 149058, 1 +Raw PCB single-sided euro, 1267751, 2 +Raw PCB double-sided euro, 149058, 2 MOSFET, 1831089, 10 From d68d308afd7b0bff82e6c955171d5d2b05be7d6b Mon Sep 17 00:00:00 2001 From: s3xm3x Date: Wed, 26 Apr 2017 11:07:19 +0200 Subject: [PATCH 14/15] Update current.csv --- docs/bom/current.csv | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/docs/bom/current.csv b/docs/bom/current.csv index 8b39483..ea73bce 100644 --- a/docs/bom/current.csv +++ b/docs/bom/current.csv @@ -1,7 +1,7 @@ -Article, Ordercode, Min. Quantity -100 Ohm, 2504961RL,1 -mmbt3904,1773602,4 -1k ohm,9237496,10 -5 ohm,2502734 ,1 -19k ohm,2303683,10 -header right angle,9731199,10 +Article, Ordercode,Quantity +Resistior 100 Ohm, 2504961RL,1 +MMBT3904,1773602,4 +Resistor 1k,9237496,10 +Resistor 5 ohm,2502734 ,1 +Resistor 19k,2303683,10 +Header right angle,9731199,10 From fd27ce3321801ec750478dad154b9d20479d9dae Mon Sep 17 00:00:00 2001 From: s3xm3x Date: Wed, 26 Apr 2017 11:13:38 +0200 Subject: [PATCH 15/15] Update current.csv --- docs/bom/current.csv | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/docs/bom/current.csv b/docs/bom/current.csv index ea73bce..11144b0 100644 --- a/docs/bom/current.csv +++ b/docs/bom/current.csv @@ -1,7 +1,7 @@ -Article, Ordercode,Quantity -Resistior 100 Ohm, 2504961RL,1 -MMBT3904,1773602,4 -Resistor 1k,9237496,10 -Resistor 5 ohm,2502734 ,1 -Resistor 19k,2303683,10 +Article, Farnell Ordercode,Quantity +Resistior 100 Ohm, 2504961RL,10 +MMBT3904,1773602,20 +Resistor 1k,9237496,20 +Resistor 5.1 ohm,2502734 ,50 +Resistor 19.1k,2303683,50 Header right angle,9731199,10