From 035ba71fe779736f4d1df7a0fd6f5510b1c3308d Mon Sep 17 00:00:00 2001 From: s3xm3x Date: Fri, 31 Mar 2017 17:16:25 +0200 Subject: [PATCH] Worked on the project plan. --- docs/papers/photodiode_monitoring.pdf | Bin 0 -> 83819 bytes .../Project Plan UppSense.pdf} | Bin 346636 -> 386560 bytes docs/project plan/fig/fluoresence.png | Bin 0 -> 13382 bytes docs/project plan/fig/fluoresence.xml | 1 + docs/project plan/fig/spectra.png | Bin 0 -> 57209 bytes .../fig/uppsla_university.png | Bin .../mydefs.tex | 8 +- .../project_plan.tex} | 51 ++++--- .../titlepage.tex | 4 +- docs/research plan/doc/code_structure.tex | 25 ---- docs/research plan/doc/idea.tex | 1 - docs/research plan/doc/logic.tex | 10 -- docs/research plan/doc/os.tex | 35 ----- docs/research plan/doc/outlook.tex | 3 - docs/research plan/doc/overview.tex | 13 -- docs/research plan/doc/power.tex | 17 --- docs/research plan/doc/realization.tex | 137 ------------------ docs/research plan/doc/works.tex | 45 ------ docs/research plan/fig/fluoresence.png | Bin 14635 -> 0 bytes docs/research plan/fig/fluoresence.svg | 2 - docs/research plan/fig/fluoresence.xml | 1 - 21 files changed, 34 insertions(+), 319 deletions(-) create mode 100644 docs/papers/photodiode_monitoring.pdf rename docs/{research plan/engineering_rp.pdf => project plan/Project Plan UppSense.pdf} (67%) create mode 100644 docs/project plan/fig/fluoresence.png create mode 100644 docs/project plan/fig/fluoresence.xml create mode 100644 docs/project plan/fig/spectra.png rename docs/{research plan => project plan}/fig/uppsla_university.png (100%) rename docs/{research plan => project plan}/mydefs.tex (85%) rename docs/{research plan/engineering_rp.tex => project plan/project_plan.tex} (58%) rename docs/{research plan => project plan}/titlepage.tex (95%) delete mode 100644 docs/research plan/doc/code_structure.tex delete mode 100644 docs/research plan/doc/idea.tex delete mode 100644 docs/research plan/doc/logic.tex delete mode 100644 docs/research plan/doc/os.tex delete mode 100644 docs/research plan/doc/outlook.tex delete mode 100644 docs/research plan/doc/overview.tex delete mode 100644 docs/research plan/doc/power.tex delete mode 100644 docs/research plan/doc/realization.tex delete mode 100644 docs/research plan/doc/works.tex delete mode 100644 docs/research plan/fig/fluoresence.png delete mode 100644 docs/research plan/fig/fluoresence.svg delete mode 100644 docs/research plan/fig/fluoresence.xml diff --git a/docs/papers/photodiode_monitoring.pdf b/docs/papers/photodiode_monitoring.pdf new file mode 100644 index 0000000000000000000000000000000000000000..587281477b984969e3da8255244a501f83509af5 GIT binary patch literal 83819 zcmcG#1zeQR^EeI)NSA<8dZd8Bkq1XfmvlEAaFjOP9e!t%zU+eC(J3BKwvpYLGyT_s-E6>Ht&48o~>FszU_eK&A*WhVb(8@Im-_1R$m$7(|#~m=|o4gYXLS z3xTj|00@xjK!o{(U_ePi0)mfpvIbE@{!jmW+>kaPhx~#Ne4f)kUKj*jf;gSk@$!I! z0RR4|6X5wL988e^A8^6~e1EUw=M{qgqmEDbpLGJly#Ig`6c+wR9gLUf?{z@ef8Y}k z7WfA~7!UtHc!u%v{$so_zJGz^6BPVAT_FKLmVc9#kbvMnWF;){PninC0KNXLFI-6I zAM%3>!T-)PFAq%k?=*OMgn0fw4q#;ekUcLi9GG^0rOV3)7yA1+c=-Xqzr*qK0kiUN zeFb;~{{bh!15Dz-!3hF${-1TQztiPGFO9$U<>BQQ{sYd#4PlQ$x&dnpAF2(kE?|w| zLzSFtoFM|h$RJw4y3ulW_J9Zga|ivU;fC}A7m^^jq?BZh#RP;90#-0fK3+>COh_2X zX9XAH2j)Ejju5s&AYlR$|1*Rsuq5%_JrHgl=w-!&&%z?7E`PE(@zIojn*kkP7bFBK zgYZD0oNWOPG!V8(cZdL>L6EyYR<$?s*i|x!`l>^A@!sTqNx@7G#<@S0utIrHB(u zXkTBJP2q`Bu{kCkgbcrF@sCkxj}C^12Fs8Wt>sYZrUygDiZ6Xl_$APoTxH$VW*s64u&E36x{#_U`<&83Pqt15U3o&$`cS2uyK0W zqrfGi{vTl7{}d4?U{J&fS}4NG-p$I>(FTR|fj|+z;qak|Q#8Q#4HB~YO$d340eOl6 z`6mW|n^O+)q4uYM_NRdMe*)V72824DQgS|pcRqo~hdTe+!TCQOoKHJ=o_6p&CE)od zyytIte5f_T))q7&)&S2)K$gJVgSsQFJnWsFAW%zB6bhI?%RNO$*BV1PMbuDhLn`62=496iyDdDtUR z*1${w*!FaE1XKes4q$O}w)V6FS+@tVdw?1NXga%D+aLk#fbWI=a74IUd7{u?>W)ZT zV6Gs*h7+#vf%%4X2N7C3djkZKK2|7%<4GAX*7jBi6fhb<48Ys~$`Ggxu%_$*K!giG z-sgl@e5foE{%IP*dO#(2MauBSL?kqP77fZv#l>WQGBZ0m=Z#I$K)-w(oS( zpjkn8vP8H8)X%s+`2xT_?3_K_f%yi=Harx^HvJsbLG!>PI)5ms*KwFLMX04{65 zXafcVu&dBh>v3wO@S%2|PPPa)Pe&BO6KHj|b#_7m^S}xLb_b6FC%cfG6R^#LcIPjK z6SS~-8QAzonn<>BTP;N=Csc>zZm*fqF$g!uu3 z&%+Jp;{)~?d{8p_cJ>}f4QF7T1Jr>QK^}e~;8ev6zzV~K0O5hmcGZJsjl-#T&Nsp51q{*M#qp*QU|4I@1| zchn|PNFU3W^!^1OpPb|+u{ToHI}9G)V+mwIwsu>A0D&r z7i0$b8)`~@4y+wmW$%jj=iWlrnvHM_z9Z4ud77ylslb1rai|lRA)fm5ToA>sTy4&P z+XG4+F>B-WuA=$Lz@4Dv@nw|i?(_lbxpH`hVfJSdT8{XqBtc)_YS|fze*5lPF~EcK zM1E-kD@Xx4$Wq|#1sNmBPO_r8mrYPi*Jay5g$zngoc84OwOG;}&$9brVkNZw?u$hh zjEJb5sCKc1(kw?pt#kZ}!jwb`XOMgWvMDCHD&c!}TzSQmkIPhrW~;4E?Opv%qai8y zz--vqC6idoG#9Rv>dSAv{VFGCIjt}xjpyy1=_T#d+rHo|Yxa$j>5dth^4kAu8nSfo zD9V?z`2Gxmfm#yN+qx_}W@TOY8;!ucN#!lC%6r_KI!bap)hypPpV&^7Dw6F@ zdo$5WlIdhOgqirX#_rBg#U2Q)T#>VEQ#dr*!c_H@>d_>z^W4|mGk)!))zfp)AaKmA zG$ZA(#l$u>t8|5R#bmJu`6%eVxC86V_sj}fe-~js+HPEVPwZs%J&l>^#l<@{QQOZ- zsxh83hIL1W_He{A?^P2F)7-sg>(MJn80!0K*}}AvrfF!-n!Ji5ob%rsp&yg?YR^Y<%*Q zm2!mvQ%tGx33cNRj3*?8qE_eD1y(HMJGbX@dCi69>lNRfkG7nf5&C`?M}R%qOi13- zl9Ffrhe2W`h2{Ke_XSVntbcMpS>}_bYaaq!tg!F{-b`s!UbhWEUWg#L0MmA1UHrgY ztLTvL!bm`)!HoP^P!vXZXlP()J@92~*(67g-U;uOuOb${YpyOs^2K1(Rmyv6`?f6? z_M%`3ZEp&*_9+RddO~~Qo|`39`77V?R1~bP%gALfm54rU@p&kbcggr(P4+vV zp}1q!@+$KpPGleRHoTH|J3+``(#tCI=7US~KI^H9-u2^&z2iME)ci1#3|R86iP7h% z7G_D}J{H)mOT~DEL2vT$;q8kLM#cn5yaKCCNhvQTOR*weDLSk~s^!bP!zt>J8jYW6 zh>Chw8pij<=zL*!LJdjm^?UZ08+NUjnB?o)*>TzqN!4eTaV*W`km-4qLh6--t7Qy# zvVw|4CG~>*4U2Bkxlm2Ae#FltQ8#b)_dU+bOntN%6u95$f4BlKH#L1{ruw0S?45c^ZG!3Hw%~?Qy#KUB^p2VsmPStuUHkii;oU$+H zxrWFuMpGzGRqSpzFtbcL-EE1o&`w>-c3)+{gv20{@8|bgY)Qo64Y*Ix<%zQ1BCfTf zqUj(~3@1saZ;jH6$tq5JcAU1YvG#m%n0(^X<|7z%XwjCF!ZsSJbA1x`dJ-1GV)Pq? z=0nR4*5KorTf}%w>$KyCSG?zWyn3I=7e~yy>G$R3Dbc)Txn-`c$YVm#?nzO*1;vN2 zauw6_;5lHy?z()h;{4=Qwx+&*b=-kn_<4i$Lsu$`2v&>n(mcHKeoqk_i!R@%$nEQ^ zx9Inv6*_90SeA!dBm#qqYQdc>JynaOGb~P;a&FC?M@7C;d^t)gF~jBS2TZD-KFZ?c z-*a9XHs>>&nZGM?{+3Ez!Yx#HemTQTQ~3#Bqt4XIHywO8cdN9Oah-beAs(*-aQ#kERJjHpOK zwpyr|npcFmV=R0c1msh64R!nZY4?3)%ia+!_P46pf49HZB+7OPqrb^f)0IDdwOoq8 zDtG7IKyu6LOu-N75)@QZ_h%)YgYd&<^@2-jXLP;_GzqG)%>6(~L+-VlcVG>d>(7R~ zM_%b|rLM5L5U6&^=%<#`lcLE>ZQU89#tyH?!~E|%Ai~CssNJ!hzore}=Qg`^?$`eC zP0iVl=gR#8>G?0@u4HcuO>v!%EZ4go7IOTp>f_j)zW{BD9d%*aVyMXaQ{MqHq7{x| zL8WT5Y;*Z{NoAtt5$-#xX@af(pNl4IjBVcbUrfGUsyD*wj5)GRK6#;ni?RJ$&oUfu zvHb)2p4}3sP#k{zCr&l9eG#@0mxwZPDd&qI@HdA-7(HC0eeezPkM| z@d)9hch98ajkVf*f&3$XMGHL>TWvvl*EYpZUt3tX^$%(rqN_M&avv;F_WIHysJimo zS#G_5y|g(ldZEm-cIO(2n{P<=S1*zI`zZm=SuG!7j~?qP#l)B`-(MWb6gD@nih{M` z@Q(Pz<}uYaj$DzWktZYzWz4z;#noI$)%Gv%`dKU}p`8u0izLxJcaHWT?9rttC2R#n z%RNH#=8m_r_F535?u+?M*vdD(8OycO6R}Dag5;Z>9(xZ`=fr!B^UcMw2gchPRA>78 z3WqN4`5o`gRzlT}rPsTD(yZTZIga9@qPl&WM@t6bt1IP$Lg3C;N-?zi)y z88dza*>U?k3-@&c&7ex*N743CCA)#Y;Gwd0Sk?85c|<}FOK&SwWvXKZL5`s<7zMwY zv;W0<{Us!H>LmjaqyM!}tnKS)>5Te|gNKf9oZ3Dhz;NPu3;pR!0zR)5+A;j?i~sKl z{&vFuO7kpCf)0nCSz&bW=zn8{L9hFtPNi;-+q693R36G)?MV_y3xJCxTq;m5?3GU@ zi6J4y(~Odm#)N#`-Lk>?^myX47PZfpz{W-=a?RGST0CR|$@(2EwkGTx=CN}8#6L#I zWg5-L1?~>4zAW$b%h{+d?6Nsf99u1MypmpDLixIo*)_N5;zA~ZHwu7@{Crb6W&+>h{8`dncU zWk>N)3APcmLV1y#H5)HO<#IB;qX}3uS6a20uw`vo19ZsRm#qV()sBfwDE7>?t zc1FeH)d!gxJyr}HZ$0@HP%&j-*B>zAks*6f8zhoBKK;G0qOqh_+A7Gb(o@BloKEno z`RK9_r;bb8sLpC+h5EfB_Vv#bri)LA2-LEed(!57JKYgm7;9U0LD|W3oQn7%ljcNH zC3{?KRKtX;RHKB?N@0S!=b!5~tCGY63qrA5OH+F1n``b71nKB9U)SK*7 zrO@$5H-=vLHvMO4nn)}R#SX_^^ zJ~`M+l0qAHUn|{LoeQH6E1M5O?zTEgMM5p}p%)S*5Y5JTE-=yFqk^l6s@HgtM`eYg z%8mkZZiPW}AVhh=jE~^4_Ci0qT&zA2J%#^4|I1>VKc#B1iP+D*j79jg$ya*9AMsMzGuThW1su*k`qqiJ>0wV&#V<$ z^YC1Q3o~4VL%PE5U!$rcV`C*#RC+0&CWB$lR2CJ|_RTO#)up)nZ71)wd9~@1z9}ET+(V|Y z76tbx=BT|lSE-YzfJh{!G{$&;&O93=4c__ViZ~~KXSTs*8{RUew!^@?yiD#?7uy*6 zwnW}_1QcN?FRnB^ zBJuT$O5ZTJ+UA;bjh}kpSYe4lJ3xL{=o0JEs$wVdxLPi-u8pAeXS}~sfEw|_yU^=_ zhg8k&(~&Z~S+At$DSq1wpG2aeg_jnsqkv-KP@lpEMfo$ zg2ulM)*r|4|MnD&?)~?xj4<8CI6YB9|Bx+Wc4$Ysu-9BZ7AC!Py+1k8m?`ux+&A=%zo)`4PP=wKNJ`o5>zm#@yvL&UV(@039vpf2voinX0~=M2do{@(w@r?H zH@@$9YSz#^+sid67QGp*PbIp%?MPNWD)(SP{&C`!U~3vyN&IBK;q#;nS8$jg%>8PE zHe5*=Y<yN z1)ZJ>tT$Z(yEN`RvnY?U=9sFirVyQmk79*rV()mrO5yTX|ATXCBCW4<;>J z05Kb=Ynyc}vwJN}>fHG0#b!&gl!|uFbIFJRg^+@XJPba*&k)^S8E@+dORdbUOj2Br zvT}<+v7|6Mo9(yGb~7#q;&C%u$)Tdp+!hU39|SHw)adb={S<5Oa-V)A=N%+SlqoRs z+oT|^leonw#lJwAyoGWiiYigKG{52*VI}U4(jMKLwbnf zYqafV#+Cd?ajGO`SaLr8{4+x$wr#^mf%DfMBG9l;{sOJjf!fg2%bz38cPY~~ zDA*x+GuekTHf7@4*ae^J%fcskZWxjDmTtoAG;TMO4d90TtI)n@G_x2%|S^ctdUZ}Gc+eoNuOdL*_N|=pQD{++h*6E)o zBcY9`XT+|71*JT4@-t{!b5=4{8 z{+Bg>7WzUzcL1&^ZQUV!a3JUhTv$QD7~_ev^xMTzLwX{)q)`Z~TcAhgfppY^pzrgb zQts$@sStSLJPm?^-DQ#PR&Mq#9?ot+HTVGrRk?V9TeB1N(kGX6K#d@Ti;tfVd{@B> zfdltjV93xw5sAL60Ar3|5Yy%K`-F-Ta2;!JC566d`s@7~`o``|n2Hc|Y108^y@ROVm2-TkT`k!*JBbY!W zXGu^95REW+H^&Fu!vPe9fXJv2aQP?%T<631fqJkE)bRsP7l1bn=x?wd4n$PZumFen zAg~Zn01?1oz||cv2CxwZ+*rc_wtv?H_w69-0sz}!4ssy~Bz!)A3Wy!-@H+>n@B^`6 zkQ!KjCI_$`EQ5&A@IX60x&Q!yfnIP-XzakZAaG484BUf)`B?(n_<-S_aRAB$A3c6h z2H^O?;ea_fByboYXa7|KxkGbsS~z3#EI(rrJ&aSBvmu>v4d#Fv0l|Q(Ih_RHHz*t! z-^utvErE#_c*Ot#1qLRNcU~S~a3HVvXW9jGbQweiithCLFFAmo{}clpI02vs0g4V} z{A`|qyqt9cMGGc0eX#Pk$NHlF{moo~?^=KZ*q>J_fNV}e zXu`k%e>+J3+qfFK_un0)B)uLd3SQEqkmCziFXrl)#0oJJ=iG@RRhC^8z9#P?d8eJd zdGP6N_sDFFUc^+Tc{9g2%~Hg&cTcsbKRshd%bWR13HVl8e}?v9A@l0GRh>_O?;h-O z!CSkPRs%MDy?n9ix|$DHaJE!>2tM%oUVTpj31*DM{wX1bq5Y6RO_`yxx>{bgQKMR{ znw6_HwK|n1xD)S=m?%;bm7MRQ<|&(>Af^u3P-ad8V>-W5 zv29_$tNTvkjJ*Mw-7U9RX*LRFadlYXR*B*ESa~>^;>|Aa`I5t@Q%I}akVzv z_oMe8DcG(&rc#v6%9p--dG;};Qwn8C>(HI}It*2#rikj>vO1bCeJLMC9mZd)~#(&{A7VWjP z^Mhi0)xC0QX4bXN=X2bp?`C!Cw=3{jm@6)&iaVMpjmRI&`Kd#dZXOoDfQ@Otw5Ax5 zU-7|%-k_@pnw{NQTG&{5M0IY8HF?6g?qfA+dvQCwF0Z-4AWBwk*b`959h zD!s+UD`ETAVL3%M(>8Q%Ep*TgIpA zQI$K1j#NV~h`hQ~NB(DtPT0Fo_&+JUaBJXg4bvo?Hgm#jVxZW#nrP}TxKMJ)vWMxQ z<*m8;bl~vOqp>WE=j7NGG>_wQn&tUgam>IqxR0LabGOxeAYdAubMO$$4kX~g z5Ul_3{$JdevtSV%4p_6(NH_W|&i^XLa`FP^Z;@`@J|{XM(!-FS7p~|_)()^v`qQyY zpR?|cvxuLSSqObqdmejPx2B3=VNF~0jf*@j0y@%Rc_X&5lTbpT<^%20xo|sws!cfy z{7J3siI)#&sxT5PwO;$*Nbnx(=k8y0+T%08SL)BhTjY9;H!m{h(hkqKDjCLXJ@5FK zqH&T$g4vCsEa8Fi!R+mZYq^#%n{AynSB0InNI(9ThMVCiH^FSi4}0C#9l?}ki$>Xy zH0IYYa?%c~NFr_kxImdGHFxNUnj?9gqAc00$aY=gpNeA+c+5BClxu1qFS4Xq<~P!&zGD5&{&AGAabo-S zDEY^Fw$w4+(fj-%d4sq)T`w{kruoI6M(IbnXMchc1&R}+j_K-TrTb)t#%Fo_Nc?bS z=$fTQJ&SW$NO3ESMc0E1?j`)g)xf|5hgFhArhpuGKIc{9 z=GC}g$2OmCzLAJ`epVI~QCu$6v&@v#A2$1>`VrB0JWJgmCaLYAPImYGK z%WO6+=eTK7IJNEPJF4QIV-^pjxfZp|SHYWKzHIXIl`uOxeq-YQswq(1tTBTo{zc(a z6(4cDtTzT1n;vrMrMou?n%O?6+T^pVCze&|$6_Dfzf)lUC3gOk+nbAxf1 zEFu&|fQlba*Mq&Fug4&zba+VLp2*&5SBU%cCGD}g9kJz*mJgD^%h({ir6GcCjw6ie z1(t@Dkj0gHvayB7w07qlq@>1Ilm-q;tbEjx0?OMg#cy4&Dh?NY?4_*b*cxhx5?g&2 zgRR(+)`6+j=b%oO{*rcm_u|_T>ItZ1Y~2mjCyP@M$9PJ!&u}Jp8i$(((`59xrLtB5 zEt8yAJg|CxkPdv0e$x_&Y1DmWuXkW*Ztz0swUNaHe_+C;cU4j53u7yWX7mpibSu4A zR95xBiNKv-G}PA5JReI?G9Ed4QnuQt6kE*>Pff11v1X}w5YkXDR_h*cU~2YZypkI_ z@fw++|03g6f0g``rus-FbMa12o<*JU*N>~Pzmkjgl-YkAK$jzst`Mfk@7hAaDc&-Cul=+Fugz z2pVjJ@q^C?`Os8BPnn4&oz_CWdqThj@ z4g5q3Afv#D&j$3nD}d^MD&wCqd6u6s|3Bp*V(=NwUlhqF44hqn2Cy>>@Xo;`44hlg zA_OD@oLsK;g7cM#RtJo%l22+r`H z9ym_;WiEA&rg&iuGPVpIQZ=o#Jn?yii zUVva+iS;#%jLY9QWVv=M3$lu_Nq*EzG*xIsXU2`PBrfuM#*KOuEk2x9 z6Mwt>g#6ZH+DjfwSW_CG;w5Yk*s1Ws9?=DwhS^WZ^2jDicqE(gkgY({FWxa){_=QT zo@kzu&Gm6tr^CmuDTwOs0LijK1082+NMH0=)Z)XNjd&t>-MM5T^eg`46L$j@2nm%K zuWg`)A`ml733@bHB?j|?HP6C>V`_)k-9>XPURN$dl7xMDa4-b3Z@(V5lc8&-k2hK) z;aYy?6%)O1<&w5>612+!%6*>is9|`hA-VJ78EtScvqY0lr&URhcA~thb z==>ILYagjSH9lr-GOuJ1c{NO?|2n;frSsS(^jx&73WHjSO0o6a)1&vKeXcBBn9a?% z7J5bfqz0R!8uml9r^lD_yU3)tll@g5p{U*+43G}ru`}1=zX|w2SQhw+(UiyC zLT$UyE-3yvwfJ*VJlCA|aeL&U65Xclz^;(#ulvpsl6UEE`qc@#2J*D~XzbPA`tbH} z%D)?LPq4rB_2gQ0_?9bw=fPc-=WpljIB_gHZ^?A)?biU%eFf1&d^f0Hxh|W;*}i|q z62LPUctpXsTKXYya*+-pY~btBxvGxq8h!`<)rNL(B9ArBJP7+T1{LGb-G6a!&fYPCFFAjo zku#)3TDVI4w(mGFcTL&R*KZDykLI^BG(_Kzqm5n&{S0Al=y*{|}>vX;2G05vh8{9|tORC>6yVH=-d$ZoE zosezdwxq~>BAY1fmn>u42w4cnoAO9*QACVR7}T@pvv`@^8pxx5?qXk&7kWpz|E2u( z?{{@WbLElepWO&cX^D!M48coTpz%+c6?RmeP||lur(2>Ab9LyHhe)y$vx!1J4=nH7A!& z&0lY&I3iT$Ld!$Q*_L>of3|CVIkZ+k*66kQIB505?n|8D2HRc}>QRh|Isq$4s^uHa zjWF)FZp*ZEbreq>!vPgF-2EbVfWz;># zAB?1tVJIxB30zKDrYc$5!R@>5N4yx?=NVUVobFu8c4oXp2EVV)@saoohIewK-8Z3` zZ}a0t8(%*sRMbwlt?&COJ-RWpeJq+gt~J~k5q~_r==p1tz%(HDOGtHiqv(~0*}93J z7R)oz_JXGIzZ^T_zZWd6-n_zqB-(DSxvbs(qs>QY_d0a@2pfBOJZ7zZZeitsg@t9j z=j--s;&}`+zvdm7>cYU7`4}E|$a6P`-i06Y=kdSsuszvQ2z#DcYP+5dUNMMq;h-8y8Q@<%6&h`1;B`=L-u&=W0d6 zN|aOdmyg4jn=ZJfUBTIZpi!Lt;fVLu%y;v+R2r7ZcgL80`{(BnmHAJ*W)qU`@0}l# zVAbjyv2C_8cRqZcJBGbI__URV-`XWqv$-?Rm#Ab=2 z+cp?3sfpU$zVLgi*-e>E{nG>AwnNFZP+r$l4HD*V?3Ze1S*P*XCsJCvn-o}%%m*Ee zW%)h-8NhPUN;aESEUN1JMcZ=9Hlm%$eOBrtCf7I+gsF*=G&9dMfb^68GcxDaj(0f zpq1~FZ?gV02b;Gb6#lDof$p)P zI^&g3HpV*#Mo9stF^(gS%d;uT?|9gntqN1%xomx#%=3gimhN#%y4e^Pf-Rg6{6tBa z->8nQ+`-AjW#!c?ii32KozEZi{CQ#K=}+2oW%zx)Uq%qQWpwS@GquqZq#A}41~MPG zU9gpj2aYv%AEb}^-Cf<^Z%*CU5&U!xH(l?C>GV6}%P%g*oBxbkgbN@w3fD$l%SMuRsKjg=qek99mo;pT^xB^ua7iWdVW)mr&ESsEHWUy+oW2{D_UAg zO2o^&cxqRWVtc`CT70%^nD+2%-16k?`>queV!B1G?+C&thJ;J?GzWc}VuI`U=Gtdt zk1;j*JX=$Ao+d^XUX|w+I2a)%x}?98MY3HY`mQLEl*Q|FwS7h+VdLzhO{weZ<_+3h z*~HzHiP72B+nt<@m-%?HU%&^B!zZqan$%UQdz#licG&+md{>6?SSr1~&!_1wMa81* z4XX5>-BdhXJ)G&eu_ju=QG)v+fx;d$J~zDQKK&9;oZf~8sFtr;$cV?izpUZ&h3u`M zj_P!Z)kvfPj2#BA_9tQ+6d_C@Idji7Jc9!qaSBvJlGBvDeJ zfznV;*Kt%(bF6^toKm;WA+yA5`Db7Ncdx9)6RW{bzm$`XI@#{k>41=kIpZl6y*@Ki^+%yinq!z9s$2IEOiZhoQ4_ zdZWhT!FLCJ$>6UTFqdX7Je)Od@n8#aujL@`6$4AQ#$`1&!S(~Q;;sW)sg$N4LGV~1 z#mzx6F^PA&GyYOn4_n+X@dVNy>{{Yhy&s%m`{2)>QR|N?syPT-)T`}b#5B14R_0My z+GD2}PFG^uOuZ_3I(?i_-kXlh&!QUGnQaQLu~}}|#^R+Lx~xN&sgjg%4EsmI3Cm+& zn)IqrB=+#y3FhBdw>Rvy?C3Ed!G2Hi$xEv89KDhIwIX-yE&4lx0fY8wy^Uh;Xx1`+ z2tk}FXB@~Vzr2kK8(^MEFKI{ehdVy)7IP_a&3iKeBY0)GdyitUV5o!Mj)q2HS$RWO zm0~V&B0iY5MTDZImm^J>NYvG;g3U}1k*hi&Ta9m=P=IT3y|qI8QEa}2I%^Em4_nLO zJ5Qf_5POI5E9I}}JcQ@p#E;P$B^}(NzsO=lCZM8ccA!~)<)@$p3x22YyZ-3sT8J)g z#^5-EB}k;7e87NhFOxYPp6^_et%YwUQCCmt=i zaW=@Q=2NpX)p&<$Ik%9HPn~QLs|T?b9ed~Fn+&}^KaqB1BMCUUx!JIb!y!!yuKl8U z9r+)xUSHLH!%59)*>wL=oMsTD{UFarV68W>;%f(;VcIjrVjjXfpX!1*LdH~P*Of6+ zMPAA;xxBwoAh*VIvBO>IVHES_u(z(il3H%EUQ?#sy)(UDgI~MUOGBGOjDSR*Y z5nDtmzJD{8Pjz$cryX-hyn^~rOI?VJyeoZ+oOC1Kdu#ig+@@j~b_~(xMX7C{lI}bf zhaoOsfle7SlMRi{8XgXJtAHH0OYE3CCE2~hZ4%J;FQgPTwCtNO5cyd5d8rw);ykn^ zLhrX~8t}%R7sdKj{PC(S=^H}A8bb*>+oNF8wfMQASJw~l!V;3EWP4FxwQ^)1XrHf3 z|M?|BxIvb_KW&mFGx_;Q@@$=pz2!Qq`sS?gGD(Ey4P3g)U9%{F9`tAeHS z7fpG!=-sfn%9v0{fm`zw^>$XSwMIC6%pvB^UT(e<5ldbpoFL#Mo${|PF{25`q026C zDw%!dgN;g#rzE-3_Gyp9+Y5^m?!GOf|7M_$h2JjwTDp4#m9j};^SVm?`K-+stuB+` zng!`(ts?0QNfEldm{y`4<~O$s`5(O13g#M5xG(R<{uNe$*=!-HKBWJVet#+ilm32f zFLosJ4TH`M#E@{~g9OQ}S3E(4kVr8&!KT?=>FxSIAzT&&tK7D`I#=p=CV_9!!PT${pE5 zV>Q&=BXOtX@#n8pJ;mIWBI{CmQXG|yZvqwDtzsFP>W6rUwQgo!WlWhmuYS!aA{YAs z*JsOI+YFiJbU050QHg2QC)1b-PJ^mIi!_5Oi;G2<_cEeii`JV?ZTb^BpzPxkH*QRo z7Q&t0;~$^lxLt0q_NR6X zK3CSFstwuZhWpJevTVF+s|+GV=hW62jUK)->Dn;05`aB8cR&7KluIs?emgxTw4eNn zVT$UST11(^>Ru(ryCl_=i=|>B>n`6+-cMpA2zN8!)^XQ7{35oxC$PBrimvYVOSKwK z)^yR1x7yl$~&L($Uf->LhKrvLz)5p?poRDjkh58t}9VKSw>|lIvy_a^? zRLL^KEu)RnI7OA+|DH#P(-0mT3b+UjE4)q*Y0E)AjIWaUx>9WFR(yStt0H^|Qd@ZM zT>Uu{N+OGIH?=C-C=vHA;%UgGXEs?l<2cI^jEI<#35Ae62=3{+rpfaN`Z@k7zAP32 z{sZ3N8;&fbL`5$BzBpzE*IaH-h4Jy;%(@C=9f*CWfwg@VtHAhfy2-W2O`*(Q;e?zI ztcm)=DPGyRS0j~lPZk4wfR%RQ~q!}|41`;*j?8OBfXDy7za z^)}i8w>IR+o~*dSSY~9;MfofEB$Qrf4r* zd>dh|{Pr=IZ++(Y2h@?x2e!B8?62T-M|>%zV_Lu$X6H3pJY=UIst!}Tf9OHw@7?iA z#`M9>c%1oj;#)KUT33$U6t;Hkg0+jy4@3{suW1yN^dZe`FL@yl)x_TISv|2muNOXx z#OY_pw;JelcW8z>HPW50dErzb6*QTS#Q)~PLy-<|IeaA||56~!CY1Q&Q9W{S;hgLF zL{9f>A07FuN=7~2o8^@cnQsS8Y{l<{l23T zWj1S4Ta${~$BTF@Z9?P!VujK7U75@p?B@Adle%$JSvPO?7!`qx~$+uRc8|$3!a;HtWYXGXr2RVXf1J_?#Hc z>of28$%iy5`U76Qi=K&@l3HIfd$pK`*L`p!3@7Z;gQHYwR+g(RR!zQQRBiq|cobTSNkaN@i z*0OfsopxI8k~??US1u^l3WiqRRmo6)!=m)?Qu!shD*tOwpL8>4;dQv^$yMe|EXHk* zEc=HDH8ZD5UJ}j-A>vyxpIKogxSz8@d`FU?v|8n3D}ES`>#JwGCBF*W7m;zqIvjS~ z#RTV;{2Hk5h*38v4YfVk{Aq(B#YUK-Re!Md!I=iDAjg|~CE)#n!8I*w`?dY@DYXkd z{HAG#43;V$O%(dqa!@U0Razoy2Hf{Vu}aL!u3FFaKF?}q`=P6(=gB6LV+DD_KxF-l zXp2CkG-8jRO=GqA(?y>!3#lNH7kmw_@;ph5;gq>s9whr5?=wX9OcDn!YI?JGe~f{- zvs>Voec`)AOHTiWyx5oV;uYU#{qu3v>&&K{1Vj2J#;K8ra-PmL3e*!{o@gNrW@)Q; zL(=R~h$j6rllHWZU2oZ_VLP#EMer(DRgJPI0d*p(O2_&PtrC!p~x{Epye4_s2T z5UbXc!vSLTT<{$9nkI+cJ_i+3KE&B10UO6~wMr)6&eGNNoMfz^JMNpFzMlk1ue!0J zEc^Zu4GbxiL=8Gxibk!Ha&t<>&pjCi+wvvJzOd#MBd@i7D%kQ*CL_fDWUxH)wd_G_ zYmA!!Brpn_nhvt>!(xPE!6~||y})xfOrOPPFo$dbfzu~@p4P2!2X*wgCj8fT#i&TZ z)VLqV`;4ZZV(0q9n!NmnHz2v@Uwl}XP$93rP>f7$(Z`>=rr?a11c`+zEfG1D*;p^6(W1AXD)`yVPK$NYyyjlZa-B>u`M9<=6 z@2AWFQcBteDSmGHj`Kg$^KB9ddsysv$KR~07v$c6vtVP)7r9kX)P%8I%bLb*!!Ec( zo+4StZe6_b(K@1%TGl?lmW?AyN%QWPXGvLO3_;Y~h{U@%QY@BH@i&sKUfzB_^<6s6 zB1S1~?6Zw`XUBK@u#D@EB7IrIp4YydS(SPU7aVx~sWKOmc||dmLgC9t2-ycGRUff3 zg$PoDMHMgQNke*a*c=tI)F$HY<=4c<&)&#AYD{79Pr>&@nic=bb5_5%U+sYW^0M8O zMi*1@Ef4PgqtS`dpiUA2B*i{O(7r#azE3?MC^P;%O%7uW?$5b+lAz+{*qM)FJkV|3 z-Z>0s8*f6Px$MoS>{nOaRPp#K zC#2uv7LC~PiU@H`kt`dDoSRxW41e}@vgO&c>hM8*b%kr=*}~?{FQq1K;I~2QWUXN9 zD^xSYQ0)Sr$rLHpQG*e_cS2j+1sayVKQBq+Ox%%d(`lJ=SRhs!*SKQ-#+lno=C0eDnItIcW`WGrS2s85`IcR8T5*2&h zSaIuH^&|tUr$a<7yu@8}mBj)=&0AWrHno~d-C29kIo*61UG4THltl@94qh_Sbi?~D zs_5G{gRzwf34yw~#SMcjwK`10E9ni#xtiWb_DliaX$7J^Ud-c-&#^E@x?pv!KXz#Z z4$6a&$2tu*sECHz(61Q^B6O+|cMvt49Sf$n>q|TR;=eehZ@d?n(Ua3@su8&}g1ly8 zyjRzo48t(YcO19w_!G#&*3*SI3wocwnoxbk`bLJP{~K1#rI76TrmMF%E>%lhnXVV1 zyCRM)qa5m>M>25ZQvfz6{S!>h!{sIdZbrvzp0T5A)6Tag>qW_;R`z@fBnG1E-YZ_M znbM-KYKxAoYTZuv- zt&Z(oU;YvjlRN4^c3xP?Xq^+D*Dk+a!e>I^2XT9|GJ8iFg}p*_PD?Pv&P%#m=4nGE z?W6E;V+_Qd;T8h*U&vQeUemCUFPHYN?ioPH=S{Vkw;zgdSx~Ip+?=?ioWCIFqgkh8 zvb22L#wPWWy(vX^N6o8^Uylx%W)=3I@f zL-b@y{X=`XwJZz8Hi(d7YpcM7UWBi4VBT5-(v|B`M3X7mom&rY&ClC#CcH_~MZTx% zOU&$LGUy|+H|Qr?yG`K9IUZG1fu+2@>dUr)TaD9%sV5oySyP#HbXJ2KA^q`vwcFbQ zVus7(g)wS2RZKXFeYXR1kLbP-d_po)MNQRzcj>xwS+#+%ry`2Rre}Q-DL-FGTcVZu zR)|u5I450r|Dr?0&I<*8y2|#a0p1EY&6)kwTtnrClN7^`$bw{|IPJyl^DqY-cxSqF zURU`uQ7avLAcwVSg`SdVtA-!2bRgDR!qvB%-r~saggmmW!tB0I;%oFu$ibfyrCnP>ZvIQn zgY|~W+Ed5)>Xcr3 zf8ufY)xzrubC;jde#6nD*wn;qKFSg}pg9cWDm9zIW^cR8BTE_2)B4H$y7UhI+LRck zug`n#F3!;(5?xcvF4T7mW+8zZT@eCl6tSw@x6^4aKP|TGpjzMb@+8j*e;b{bomKfh z(QMt7|$o2)e0wOPFw}KdTYJa%*uq3_k~W37o!APNWC=HHVtBbEyKLiSUZqR zbIAMMmY9qViZz#H@MHu>eA`)Q2+no7!gQu{rR=jXJhHd|B@QS`!(CMP$T zNGQIR4eUKehh)eF5MXNYBp?x}+ohSWBM_0CSTluQVTK@FMfd z;?g`w{vFG4%L3U7^lH+8k1q^v8fpH=VeYguh~So>{+$2;CG%ZP-=3Q`!>E)d%KV4} znns~YQ}DJKI@i}A%fK(6+d#P3qAJpDg6Ij5#-Q0`% zq&9pK4i+c;Im4tfkz?GBqAy~!DLcJQ8mhG5M^4B>!UsXMFQAUaZpy>jr0#{~1%X4U z)!8R}9~uq57}cD8>P{3%cpf#Kj&{q&r(wPTKV&?uV7XFW!3%uVEqibkEJu5{<*L*j zcP-c5N8GP(KBEoqj%r$<7j&x4N|S{A^y0kmK+*?3!6LH~^h)fMWviVJg!2Syo1h&I zQ(F6=X4Go<;ssb|`RVWsk;eASe6Ks)!nQ$VtZAG}dMf%Z%opFJCd72@>Cl)6r!PKr z6{=IlQNzjT?fNokKn!qQGI!`xcZshIWP{ho7O#iri@Fa0GE{W-e}{O?wq{^ef&9}0l{f5{sE>t4uz#~NAK|Ak!s@3BV5O%9}gaWKGm zDYVf2IY-7fS>+RERP$u;_dIhbrVc_0ScwgzpU+-c0HRWAEiENXWCdbHpHJtDdza4# z$6kXZ+IHxetulN6P-Do+3?p%y^txv+g7x;k-)mH{Z~c!GVLKxYA`fb8^)4Mq8Wwz9 z)Uk0h<+|>U^&P|2TFb>pK#J`A4ZO#08ZWjhDR=VwY(@L2bxc}G^+%#NR7;|cBrfhk zyS)ZZC%ARxWLSgQwd*9Fo9Up`n`J0f?IroGgIASR>MguWbM?dwcpcY!az+GS2yT#w zwJJZf_25ll>1UT^2>^dH{M%$`DsKufr_L|1uLzdWrs^wq_DYJ+GQ5X!GW&h|wUE|T zJ?{!O4cutW{f#k~@F$NkVa)4>DsRsE>UF`D-P*Q8dY9Z7(FcOVU`I<$0ziP%>l2Sv zO6bCqa2kI-5&x0sanbP=F(|0~=wSb;gMRZg>|_?X8mp-K$ymN+Nt1C*sW)Y0*Bu6% zY9GU&Pj3p>WI=V?*kOP)d&ll6Y7W*6H!jnaRtPG59vxxsqi51GH&Qu}a8BxtP$0~= z$12hAXYC!;cU{;^*GV>2Xx9UR7Z_;wN^pa{^L4dpfKN&XWcQ#&sgM0=lB%nYmZ*>i z(w$>ny*^B%8mN`q2Vu-^-4nXxSLx1;LwomJJoLX`?$h0$PS@&h?Za>8>2!~oaaXIE`B;oAhi zRxucSv_w^fxZS&3c{2AAybP2pl+oCC>$WT|o?>I^7bSU7!e-L9V$ z6vI+Bna*frz*j&{5OswXB9`gTIQ*aQW8B5;ZYcL|`pIcsy)P4evX(+ePA{h`F7r`K z^KKyx0J7N(<_|ayU#m;PUi$T{77q&UgR#*y>@v=rrv~}n zJJ$k?&6;g5@I3e{R47J-+ggf(F(B!lXkCG3ciHj~+`}K^MnQZ0hq-$6ZG_INR}Eg0 z#_}>&VL)}XQWEQ81cwW^;5Sn=j=NB~ zv;1;%;SJ^1I8**aydx|;ZK{P7Iw+76WbTlsou1o@4xXIa1q{_2UAITqhabb%TDGhY ztSP#q3;7O655XO_QOBWo7Jb|11|J^71zIX;-;7pu4z~jPBnCLsdaH`w0Zcd2&19c> zRk!0%EXUms#Q=elCgSicsB3U6bU|dYFV4@{1m{qRcQ?fq-eV@?k>nOgfxu1qWF)Uo zG77B8dc%C#ztW;-SOn0gbM9P&Rzv&C&B;Y|yf+mCaa8Y0kR&&Pq5DS7A0AXLb1OQRz2Q$JmSVQ)$k zFfef&{+2=R6UTRk&BPyAcOv0fL{c2793{Lkhw4uX~~Mb}Fcm@C-mW zaHUwZrCQ@u1?aF7&nqC4u;YBkSf{=I`EI3jn7b1}c9dd=P-1nz?D@!oUFO??m!Cu4 zfgnBL>iyEmDG3;V7uH*5c`Psexab-0_Z2eWjtxEs5?04&YCItK@P6lmeH53pP3Z>+ zygYurQ^t`6dZdo%RhaeqR*AH7U=(YxXp^rKp0f0dqwP$mhTOXv*qXUb`nE19+oKzz zrUz!zq5Q0Lx54t`lpWPzGy?g&Ot(8Q-5YTut5M(U?3Jv6nN{+cp~M6_k9$=(B3yvj z&hip10*Q4t>}&o7Ok4cpcJxyr63UseN^3S{3`$T_L7G4~6PJ6v8QWy+M-gWEe6_)( z0)LuDg{L41L>0@8T!ZnH%xJ^fAXU9W>R{8EZatW;w& za1pW$hd|btQk(04WKqB@$`@0&C1mtc3JL>F8dxvKvZ|H0^0FwkC8j1uWvk2NrAD3)APd5ILzb%^O3_EI%TpgW1@=+{V<}vDWT)vaL<}W|CriW2KYvIYH9n__SrI zlcBA9wZ;D^r=|vVbJ7+Ey*iV{qbq_SWs#^L6=jw>WkS&iqe75*z8E>mkHtx2>S&9i zej>(oJYwop)aEExU|>V)NixrT>0o-p1nO|L-tJ&(3j&qZUew0GuIPDmX^yOZaj(6v zUL6e|0+LhMI206^`&pmNuV3|-*^&~J7n1t!2;Vf7=9rwvJi+jKF^Yes_dS;VuOgf( z;Xi`H>CnlOWRR>*=~mM}E*Z34)?cta-aN5(?vIZGS2&ifJpqpb8$xmbN{X`zQ0GP~l7%75xi)Ea% zgGjS;FIir;kBh{}H^*_{t8)~aba*7$4`nU*6sXj?^`@Sklb8#HWYjVc5z2#fNg7d` zny;E3`1ZqqRbW~^s$f8o*uc4jj!hz0XK#APPO4rOgHE`#{&%N&9D*LWOJ`_!!9S!) zL&Sn%26sO%fBLIOr1MRUrtF8Z|9Dqk_0CRSZ+|44Ip5l-Tlt~ZJoax-56jrN5Lc!N z9EvL+6S)xFUYwk8DhebGYQGVSya=eoD9b8kv+P=YfIe3UktcLeXaYd&#_orSOs0q{g$yq|%r%NXLkgd__&M>I zh{7BTE;BqzGog?SVh^DKK*0ccAn3~mKB+y3M0h?=gV-A}4Ta_T9YC@w7Xm#HYmdA@ z3^lRB;pgJ}+-k1ZOK-ILWa4HE()U06k;cc)!aQN=%%Spzh<;QqMVZcPLT^Y@fP{pw z1R|8+57YZc_6?YXlrXubMJNf@H^-^-tL1byiSC3#IchEfm_(Z6-aTB~9yuj{8;{C1 zPCU0ru3_R|v|i&3Ncpx;+W`rna75$L+EDU*S##2*SGTP4@_R_Cw4W?8*@A^v0k%3p zt@nA=9KOuiNtQ7l)l{`Og2yfO18r+qN@tUYkM5c{L!DCbbQwShU&f{@ca9uhaQT*{ z#KS23=IUkcqFxOe(n0mP7u-0o`K~La0(C%F08965GwpS_0Lj?k4oUB{68ih3{j{Eb z^2@qE)nsy=uHopQ+j>Kv@1WOOMp8c5tE3j~`H07G=4<0IcIH-JWj#*MK^EN1>9U%) z-j%fF~xu8)@CAZOb;TkqdjIUt zPai0V5f0r*I>Nwf=pP~RHOpWkV`1M8DuNw#U|z~^L|rw(|-4VZd02NIdL z|L(F+IgZ7TO5ltgYV)Qy9!&T(Yb%=r@xHjr#sa`#a0F$TyP= zJI60)Ddo_st4FACn=APw#k@E$n_NwO%su%`+p&-vk$yv1cHRJ2kN$Ba>v!J@{fpw8 zIbvSSf_9`ycf)FF8lqPv$vW;K1F94i+&D`==RgcjbppS~N)Hy-iq@|hkl&PzyT6|6uu_EQbigLX65A1?sfq5ONyBJEoa_Su%}cYB(`_dyrLI4M!_Vr zlG7KSy8o!SQ7}yR1G_p2>UNFD-B2^lti( zj!z`pz|x}2()!%Gr+-YGa%D~SDW=Thy|&=q_IC{!Hpwq^kGVW6w3Qa%8i)y}KyqT$ ze8Q_)?Nt|l^9$9&dg$7+`in9OXM?-9pg;v_gKVezgiWq7->+utAI@?V$l7jEJ6zY% zfk#W-(SCDTMONgKwaQY;UXyg}mvDwF@o)arbtR7wiueEd7HG#mRzcA>*=8@P>$sqK zrBbN1r`Uf?Z=}KRK+HMUf^6b!pGjFs2@OqxIx14}3@`(=b~r&d&HxU$zgSrb?&uap zEzu2n26szmQp}S>n??$T0}R?7nJrhR`Vy@Qt?5R^NC^cQXk5P^vBw+%juZ<$z6@VK zp^~u}%TmE$i;feiC+aB^EJF(Ai~$6f)LF&X*NfKG1oMY?QqQffJ*nX?>*(_SJ{1Bx zpqY6QhFze+wMXY2EeX9hyx0abG7)^{E6|7q>BFH;PMu>K4hNCS3`jVE zIA%}m;4}*-82dn8sLk9tY{S9^IKtze-(2-0yZBSCEYE`{fb@;!1^sFV12IJajh4UZ zqNrHIb%Mhqv`8a+U2X>%NQlkkGzcuji`_Yg?;#1+3ORy?b@3i&-7U+MQaTB8$WM!o z>BF4!*(fVU>=%o=FZU8B;-lX{LWnojE4(HE+4ytSZHjFi3rL*sWiU+rZshNy%$8&vmKZ_o=8cyW zh9z9(LnQaEreA+|%ZM8J-#TBWKesDM=cvWw7Ec<4sutV+BYT?kps%xQ8Ae3DFk?;wL~g&8&Ts2@I$voYgZlNMuP zFcIPnQwFD!8rwl_LpOjaW)i-6y0q80lQoZWX$&tLbeS*)H8Sqd)c6e52qdgH`)H4@ zphDn=Ul}C~eP+zlrSP@5&A?yNlPG#aZ^5N0t~Swz21VR`o zt;%ppZtH3Vz&RbpFBrmp?c=R}jSa?lf=DiDKTp<5tL~U+qu5qIz}EFBpJrL7krCLv z^>)15Kc)cy16(LgAO4TCzyHp0`d^jH{3rL}pJn-fa`yKh!4m(I+24QXTKs=L`}^k! z|A$8LKUWU^Zyb*QUFE?0pK@^6@=rPVHwIj#gggQ5;;s4Prt3<&Q-P~`Y5AW#{{pa+oe)8S$PusW&3D1`>zc78W15Gmdp~@9K zw)~a$*J;yxzVF6w^E#-MSprf7xiUT4r@S-at~-;0)RjIPevjI5<=laJtr*ISQN_$k z3f*w|^0emBvjQ5&ZKhIhn}SLmvlCxS5y0(tn!A=E5w_=A%e@@ z`)-g8Y~q~T(O(92UAT_XtQ-sHsNIRx<~h|qGkt5nl0NLD*TPy#{TxK`oL84k$@hv8J7FEX8J${#H=^h|BsD~w0BP!%(`4Gp4dCsz&bH#WbBrx{2f}nCW++>ZU%ggM z{&bVtTaqkjUDM#3MbYlPt?HY-jy(K+5!({|Q37O312`HNU2lh%cC&fIi>v%EyFEYK z+v<-#`c#(WHOZ&B@2JfPG4uQsJ>@VcJSn9X*P^YpMXjV0yH2-|mRX}0UOktpA1t-> zX_;Wn7So7D!9&^C=Ur;TtADJ0iVe!KY&Ixkv;*{nYOy~43eBvmTT;y@=B0y1i@bNB zc2HQ)?%kbPGaP#;((y2U#@OvM=W=$jbzHv1jK9}wL~%OKFVPAW?4h$sJFy zaOB^^E^O#3xML+Y13nwGZ7ri?B{?#%;2vP>#+V#2XFkyTo=JnCzV}2M4J^X*>1q+x z;8S5PrYMjB>c0O3c*PkVw2)F1N07!F*4{5E6gY=We{ReB-T3WZA<4Me=MB_{JrxX{ z`apN-iuum-D`XZF&JYQhpXZ{;KOY-VD_ftYz8*SXWXOw*gz@1UV~iDoQh`j+1Q$&UyV_ppM8%kEuU^iNApJ!s9{1jy^(Y_?FZ8BZWo zX(2MPw${TBXa*IX9M>ob(5122J@g(4(7rm?I1PL1r>&Sx@9O}RQK0XHD>4X$o=k2oO;MXbD({=3O75{L-m{?w#ao6}C&HxDK99&c+R;HEVS-9M z831=8+@NS<56f6Ab7YM!y55+Tw`c4c&8q(ulQVO4X6{7OP8Sk{2(ge1avK3jBq^dU zn9|7bCg3t;!R}vE1F)m~k$kTd$6N^9s;ZfG=e-H!2B5X=0f3=K?g|G`Kv1?aI#iyd2-L;__&F4s z<8CNfJRCBXCrtbIQGZCTiQ)a&T87FM--ox5TAxBORMb<|6|DTZS^5diDIrc^9@f`|vdaPJD#7 z`B@>LwheZMB17!Fni5*gY8VwN0))H*S_1z8u^OLs6!!}U5e|55Jrx;lJCc_glHLjB zt-d0G1fnPOQhK9ye|>EJocTJ=+VTgu-F4zFV z)R3*{xdZGxag3e*rjA)RHA;{W!d9zGDlpzfe z>ZF_dj=Z8)N5X^&m6&1?Bjd5HCn*oo&9+fG74S)9gBN^hIdG-EfV{Z0^01-&U*mBq z7N{Y_-)Y$OII6J5UZ7HBv-W8lUPp`@Pv{t3!x7nfFuj7q-c#`R;>Q+W;?H%wr#EPq zAm9Zf(*q%JSSJ)W0ej)VDO zV{Lu4$gVYt%TO$HC57%o{Z)x0a^3SM)0H(2E{v&IkFt*$MgH~gYR@^+#YhIAlZKa> zC=Vv}vo$9JX=3Q~Qqknp*@!0&Cuq60fO_q_Bcg%;=`;GT4(~xZG)k{lp+!DvtS}&oRMi;7nh9{UF+FlU z#I<&1uB}YmheT%`uE9E0n#bIRABs|!!f0bhxIA(HQg|Yt2z3c;TcsbI%N8AeBYJS% z-&`?Y{Ev1TDZ26d>Pxzc`<0=;DA#OW(pdT&q>0iT1F@H-oJws!@(ug!fXORDUBUGn zN~fB7O}G1Sb}yj6X6~U!`p*+)z1F^64xyvc{YHEJAgdS;MBj4X!rp#L$s=VYL}x?} zh{?;miBqp#;A!?nwZd{gg)0}}fdiJ;E^1Zq=7&*krXAJ`I# zeBI29t#~7mGC})nDb-~PeeKGn?ixBlrRDWIq3-&yw3LFA)k3kw5faDwsBJDHFBu3u*3U&9hs;sp! zfGXllkYH9Gf(j@WG+?xpqbA46qSVTg-zW_Xt7kCBYU8mnA9-;(v=SsKeJ~~0p^5|Sg*!=jTN_$=2WShnTI0p%w{2k>R-K|P8gNDf5RYalckz75YB3a$ z>MZs-l54QD{H;OWVe|TdqMgpdva;5$JndOrs5$F==S*dMpMI`9;8O-VKl@Ef@+UYRdQSP;*-Pt=FvJ z_^^V;UhzXj^a*p_0_Nk+16>Nr=R{05xkE(E{!HlY^0Us=@5@TieLI{5)>hmN4TGm7 z&dGhs$l3!(Xch|*A{sii7!RSBY3umDcpR+NZ%3totZi1LAae+ZKW!c8-MCoB`Igak z_#y92d!1CNk1cj`&5?CEtDbJg+T0b*&;QU z_&92Px~jp>3p-VvuryaoDJC3^lS+E;8^W^(d9l6-t&5LZX{MmV>d&n+l^S^-$;IzlvF{$7~r2~iKZu41t7HL6IxX+ z)W)id+&)Ni5d2XQfiHA0&MUsfGw-5t=F&RDaFDX~ccx3ObN9

yQ0E@LZgJSh{#q z*_B5`qUH~4r%s26VV)%1djEj^du<_-+H!XleI~-9%g5_;w=;Y4Qb^Ep^5fn^FVI(D z9GFJl)5_=%!xR00#w#>C`6|EOd`AbGRyBPz91wcM?>bPq%)}(7N@%En73%9N2 zwQaa7Z<>bLX*N@8Q%l=}8@q>wwz_e@R@iNp*Sb5$##7^jIk0*aZ_!9I8iIH-%gGy( zl{HXwZ;lWli``p-#v<7jl4_9~PRVt0TD=hO9e0^{qzum)V9(7#3#I9f6qF=@Msz(c z_3s;{9^^Hi(I#zzWDx(nnd~z=w?E&~uq;#_?cPK`IH~{6hW8rKIYL{BAzYcsf+I3yi7oke&;TcHypIf0}K9j9n$m7zdZ!%QP`6Ui|+wH;I~j%+Te0VzUw zf7F5gK&>?{4|5r#DG%_XxCe;PqK+|fJS-ds4Fq&%mxO=x!Vygnh)I;u`GH$(!%J{} zF-#YwKr)u7V4)rLMUM(n8Ve;?sLKrGs;ZUAfgore72=Kvp%^KIues_PSB^fY<)Gm)t;5HYN16a>!+P8#vSlbf zzs5N26wU%bad!qs=+!lAe;Zo02XNK9*z9;iL|Vs$tQLuC5>T=JvSl(1HifHmda4q6 zZFfT%jhKf-V8o{E6=ycF1$H?JOyIcL$H@)?9FR)DI>kVPC#ImW!GAq}@YYJn8E`|4 z|A@YY8P}Q=f?6~cfKBJ61g4H#^INdq$s1N?h;~P}Iwo1nKD;jG6RQAq+veC@cGu6# z<~U7ST01-}76xoJ<96hE`iCXmz$?FdV9yLF_`0LiM~~i%6m%Q{3O)WS2(~X(LC2eV z9ttwVbngQ=Y6<|~D#Y2_16wDK07GC(ChVBoH{p;uS zwJ4wVxKAy(Un)FxOLwYBD6PTfwWREkh!vvv4eK${ze#vdo-R>Fg;=0H^g4Sg$b6DD zrg&jxVFr!>f^sG3=jZ!$RRGWz8zv%uo5W0n@Wc)Z+VJGdM=0Bw{K+E|vX zd{VUFfhhcM_|*tt?OQO={shW+9&(QXPQ zL#b7SNRhyfe!f8=a=-Uc+F@67$7pCotz;@Vc|b%3#o@t3+++?Fx!}5J#%k6l8o|6UY)k-N)rstmicf&{9yZ#_K;ISId+Kqj)VR=xz4lEysW6vGqPi}AyS}JkC6c8>5pX-&D?9zgMp_Y1RmH)zo z{KaYh<)D(cqI1{Em|L_MH8>ou?TGAh?KNUMAF;u9>CIFrR4-9(GTGZ_UV>1Zr@b=@ z#3}r#H+}y1#`n_aU$sj9_f5sKLi}bHKYjX|y2t^sv5DkXVt6U@Sb|9HsX6N*@n;RyA`e4%%pC&Jl?`@uy3>lB7&F<%I zH7h6Ks0Wty>7~>qhHg5R%-icXQ4`{p4w3YXs-H!JO;GDyrBsovb59l@rs#r5QH@N_ z*zbs30exXc=y5xF1Ed+Ry`u}|ywe|q+Ya9EzOgw+qXavr-_PF2a{1gbM^R4t+Nw=2 zX755>`5fBs86|C5+HM`Lu70bio~%Uocu8f>U3BT_vgUJ6J+=vlW&inGBknD$U^#?>x!d?d zpGYe94R83mtg5>}fDBqOUfKeHA~4%o%a#wxgCbA0zL;p3W;ey+9V@%8rio^bmn}7$ zjmU6_G!=QLJFOL*ldnshgemHl%jm}*3sGxpoCheMZM1YTe2q&Lm(-KeZW4ybhTwug z^^rU0kphC!tHnKQY_H7|1@mS!XaYc?sE$2_(GDF|hmJrgpU-dT{mY5M1J)c|(%RB0 z;bhKxkcnmApvH%GsRd@jhuUA^aHGG>38Lq{^P>OxU1RobG9NPfRy=T&*jw@Zz+hqg z)Z`DzX1q(GOnUjAkk2Mf_S>D`lr1 z(VriB`IRvK&>*yEc2qh=G99?-Pfw z7BUlL>vg)n2epe2(8vE5rFb#j4jurrya=?{BZWiK`?1trI#vM~ihw`dVJ^GFfe9dH zl(8JfA{|P=&l}hoQZ@_>FOcjoAA?^twHu%tAKpI@NlO2NE40E00x!jv|8L|)!jtIP z8HJHheH!r4>tXzOA|_`r4(_4~)@-9Uga`k1G42Bq_=a4YAO4UPnkRRq}K4G=u^y^R4i>9cr{BDn(#r~sr1t{;5|HUNC1u-%OTpvt@k11 z&{l#lz4#+3GiO}H#ScDxkfx)+_`i@~WTF_P_S0AuM$)2MhFf}%vI5jQX*p(07KIaY zY}WW-vh+x!lu$A#_KD>_v4#a$o4%i@DE6U7&NQn%Ss2oO;4M(Fh$#uh@SCIj~XIAL^WF2;z$!|F<@aV2=!(j>lDWFP)wyM*+DFvYIzhYi}^ z-jo~@C2yE-*#z@o4#l}?9u_g9Pg^ilP(D3WibIOg+{ez^q)mOkUmrK(5(}0DPre+< z6#1K}0?!36MVq0~K?ghbm8FBmmg2X;GjVO(VM}%O(rep~*$ef#*uQ^JiWc@Tusnw5 zl6v7hd(l@v%Ahwl$cg!Q@m&@XF3@%DV%k_w46CEW{W!aZI9w1INl*m0GDg_`y?BVN ziG3?AH~wOG?qEB#T+5xo^;XbRpo`xvV49jv$ro1C2J7g9%6;i!IW)3^E4Mr;H}W$F zYJLlJrgVaNP-GLU()zQWicp@!U|LYR;S8q`(Rif2znUNl4b*%}vy}KU#hAN^p|sG+ zrS=T`D-$|7FxK4PJX2LVji#I)N$kZ|&H}IZHLL4zs%6C~2Nsn=A1+_1M&#FrR$dhh z_Rc03b>YZtn6Iv$ct5TbVeAw}ub&+lEblYq?%a-m<+PqS&`eIT@x~eh=h}F)ui+dc zUe)O68W434TXeD5rgf&QIIOoUYqQq;x3FY87wO|7cKt5=rSmX;%}rfXH3%8dKK@Tzg=-5&CO1f4qDQr6=j-DS=Bd7cL@aE)DVatjpSvk624yY7N#yKZH)@o$ZP)z zNNmK~IY3gse+=acv#)J6-2iHkgmKK|D0j-mg-Tml*-)|UCAl{fPx8(FW>JK-kZ@!` zoM#Ie&k7WI`(x%e?;mztRuZ`tHWVm^&_` zEkpbjFMkP%z$_9DkoAU>OLk}g{KU1`h_h9N;fkt%*R6gopSP68m|m>BS8fBq@0l0Q z5YMCr(jCno`e)@nJuYufUf$B(?yq;02NJ_h|5L#cJzsAqcO0^-x_y|QtVemm61kZ*4Pge(Yk#E;nsaYT^A)L-Lk0?BscvVQLhYUy-OB7T| z%cN#}RZGQGt_U(83MYP|rzoE$`Ey$!QaRqrri{+G)}J=@>~@`(qmoD%6e|gv;`3R+ zerrWkbps+e@J#0?6Cs`A4j$9QO%5PpWHnNYLFTBK9Fp%@28g+HHBI7o>59L8KBr~| z>cn2J2uKqxVwVZBfb33pzi*FsRVMKKi9<|!A32U|ns#R!l3L>OmMr?>C@)PNY?suD zA`F|^c!4cP3kvn=p%7F*h&szFFh3|738~-7sLg2VM*98G>=JXhC_U|g88DYC*$ZJQ z*3C^x{_wM2RmuH9$GAgYeGuiD56lm_&V<)0tHD6&i3%;y;$=b6t*hrf0W#uO;(&Ug ztEy17JFFj^V+MS?b2Y=SU#i}BJ`wU(? z=+0pgUd}2vs_Pr!bnR%tTsojvg=bw9-X$8XXTm}x zV2;H^f~o$nQKb5l=X?t0;>90#A($W`sj~*17#MBJOpp4N-Gd9#pPI3<+ARq$awykCO>*iW=B99vW| zCaG+V4UZIjjRy@kFHe2lk+=jTpkXCa6uKwN%YAV})RE|1x>(4eyjE0!Fg5U5??J;c zrP~iOm-Qr1tPsM7@O_0e!^u2uqTjlMcIuFUM!b3u3aW8-a4KAp@I%NNYi>V*X$= z>WBe(Q=vk)p0;xRWnzeu2GA~{1vzSR;D{8F1+ecMW()Py6Lb^;7oMWf--z%P*9Y12 zes?rEj163I#i9N=*OEWiGn$oKpH*5=T5iUN_@6a@*J+@@7i<^ss7uQd$h(uHU6ZI3 z(@-J13iFyq$zvhYcf!cI=CkkKj^a)LOW%93AHoD%Y$y1>>uD@7O5oqsG%X17R^5N* zAle@Sl*uOx)@tIp9Pt8(W?1T>akm?Zm8C`nA0;eDM_D7=%EXrZ0S~LVH})`6-y4%J zC`>*Mq7yEs%0g{plU!h*PBhty@oBA$B|Oew(a1(0gsa}rXWP_OEuM;hMNnt$Uf7IV_?_-ukDIhuc|Vc4VWPn}OEZgn z_}0xh44H1|vb?^op{v*}*^rl4Qj^qcHX*ySQBBsNCesf&oW^!xWyaU(ucd;R!wC6K zd8={3t&SsJ9_S@VjyH_FlFV0WSEBBaeRVRIe7KP#!Ku$bw8D=*jVkmiDN@niBTYXAos&XUc&R=Vj@=oqOx2>Z2n3k&2QL1U5&fqX=*4g94;q>_g+3ne z_DZ2!7E_i_&A7Bc^o^VO34V&aO0#jRp$=K{eAQiBmF7X5fdNYS*WhTEZajwJ2afx% zNG%So_kxJGO<8^=Gd*UZ!tkTfv+N2}UUukkro^3qly&AUJ7{su(XYFyC!}nvqxsFr zwrH8a#6*RVeP840u|VROQF!eKSFCa7S6LW3QQhFX+L>Xbn=`Fzt`DjLb{cDTp*=jr-G-qsrN{npxu?WN+zbj}Y@(+_z0d3wmDkaFqup6044*5|(& zdMENk;}hgmQo{V~h7VoAIkO4{7i^0AU7`ZmNf6Mg=L+QL-d6=D(8~Ieoj1e?P z3J*K+V6J`z;`Inj2AP98m$zdc=t6G&i@nNZPA^q$X`1M9fuYXe+Xk1yV2(@ICy9~Q zLrtr40s5XOv|D#?WSiodzHWQOn2WClG&<>bKJCyb`~g$WoM0!8gZj>qs+2nKx|jkv z+j()A(&vm#1_90cim+;ncf7xi;zQmZB)|rxnQ(3Q7aAJGG`L>G(_a!>`I~f#$Y_JI zeo849wMVoizP?Gg)+woc-;NrKPW1RY?t2J*^_Q>@X|EpObIM@`4|w;9;kRTU@I{n8 zodyh;$;W2by7PQTonLmlDW*8xk-~Cfb*?ANx8!ai%^IgaWE#d@yG{eKyx!YQ>MJeD z>{*W+JW=eONjifF`*9plD+0t!0fqaqw>$mTt>m{F=U4VNtU{#PSmBEb2WI8s+SiQ zL6mMCw^efk?m3{-)z%|~dJcAi7oq2Qhpbw$o5OpHZ?by`1v00cyY5~v%G?UWl`mtv z=?9VU14FIVD7aX}mKxPYP4#_=u9Y-H40g3rc_QG6^l&+2;>yFWgarQDMskMiW?K%W z7eebyIs>=5Zu{=FiS`5$QqJU@#Y$F-aqlwKqh)_jPGqz-tGUytMlftbfysHW$<2TT z&aO0F)hU-*6OHJ&T&|nFhb7Kh6&-NLa}HdwK!pKD;+@iNr1k3V*3L6U`Tc&x&={ct zS7&$GOgyh2c4CTj*&^L|vE$=gbBRQ+Y+9o}kdLaLG(>uMDSnpJ{z1=DG z#}*PCH>S8CVQlGO5R{b__uA(GhP%g;x26Tl&qkgcox-i6@i+u~aNy8;mPsv)_qLP# z%(}1JXgXjkzCq4#Karx_Z+%!vwm;&>HXf`&k3EQHL-XKikN{T!)F1Nr^yn&8cK(5r zp|ciqsgPE(Sgq0h%;ds*@jh2n-2uBNjk2_`K+_u_k8| z(&3&6A$}8uSi{|~5tw;wzlpuemqizQ-N`|2oK^&CVp=>|{bl5Qc!|*vAhsE`stv#O zd)wk%4XwFGgQpj0uVF0C(o1^Ruhm!X|1Q{ltGA1q?1h!7$D;Sb z=dyM>4>|!QOXBDLa}r79W!(r6;!vG*#bl;N;6rOpU5yyc0{8WS$=J)8V4C|V|MeOt zIs3kObaLK#Zs(U*sxL9 zYJNS8vr?FPh25^@>3!?&*Yo&lax|4_?URU|*}0z)$bHXw$?0O+W!Z*8rd7LYOpj#A zEcni|IsEw?JnF?@r@A_bRhD=C${T2jxdkf-t& z01GY$x=SNUouc;T(hyg zqQ+3L|0t+Q`$c_=r+Rcf@i^^4xLTBuB*>KYjqF76pqWi5cx-BNz~z>}2MPh!I@xOa z8x@lEtgjnFCN~~CG^eL2M7}+<)>qc!{mxknzf z{ay5*v)m!zb5h#o*+lIiq){SQi)`iV;Ow^3NykQKqIWc@FZeltwIb;JqShRy7LIs) zy3)PiIMjHDY8$yGz92r4Oh@>X{SlGA5gYwJ_OiMawse!e^p){%wkWuT^ zpc;;nJd9K$rB*)d#9$CCYC47NDW??2_k2bBa#x_@2;qf~vBMmC?E|2&JISh`P}clD zHZVw;(R2p5G)GfD_=Th=f=PON-=L+&Vty^WRy|B+5r%$0m&n#-&fCdh_P-ww8!ebb|FR--TGSAO}4FOtUjjKrrWS} zy=q_&bk?yMss}!C3rcmlc^;J@n5vIU!lF`f^brJDN0GxuPWTgahXzbnu*E3&u3ofw zxxmv~!CvMrJo=#G+j28nZ8J`u4w>%S4$dF#xbjP+v@qxBx5X=^EH9Z~ z=`JBA^3+5cmc*mM*`2qT+Gyik3q3DQ`csK2<>mCr$6L7cd_~Vdtn<1`)u2`ZQHhO+s2vGx8u(A%-=C_AMX4Q^^#E)nH5nlnXA6F zB;x4wiWsit=Cz^Asy}Pc3a^PIPogG_nL@N_DH7^vwkv}Ro|GIu6}E}UadEdTW%)cv zxx^?_*JzDQS^qwakYf3!N&egM(0;u6>+vkY@%E3qZh02~Li2c&=vl`Mg$JSw!}##X zfT48S$v&mb_&lavkk3Fq6I~EZ${j(;<4%lNPT-iW>KR87(%)X02tg0FLo=sgA~Hek zXKAsJ{yKNnl)UZrnhtdM^sX8Ot2E^uz%szOcMs>TvH)}}R7UoRe|=$e8~kSVk29Z8 zMPhj>DVf*H!PC*N0OmkSuR6SMnSSqo#Vw78#Z46gdnMzhp;I)2Et?h_=Y-OUMG_sE zWY~fU?7m6(P{&CqF{n3gUPBbBE|~~;MzJ)MOw3Bdmt|ams{Coh#K?Oa?NQf%l!1?0}1bX6$4^bBt91K&(EzLs<_7bz7*#hY79idD&+W zf(Zl<(v@Bw8r7A4hHyTFTGzc^?{hu{BQb6U(#%ZkXk(OE3N(&tgpZ@0vx8p;radnv zr3qe={q6e6;NvHBHruH%wag*dhyML&Bm=T1t~w#A`R1-$OYNy5Vp;m-a=pS?Ln3X~bWfY7E{LnHwT9i> z4o~Q|A@U#JhfSJWG?qcmqia+*Aw`(n5`4VtSIWYv;%#-Y5E>DYX2GSb8cZ;ou1$$j z>~db-OoYiTZwr$9E%Flh3mt{?@V1TxCgo{&tk%_9XXQ!4!#qJoQ$2IhXELf4BNeID z=_L6RxpBxoo#*k=*8!WsuEMdcHa^c8HuM&_K(K;7Aj zrC2mKD+AaP$`n)U=QPOTPb)a{gcK zyYl3|as$_rT6n9Temz{n$IB!A%&zB8n?ZJF32gOgo@GLlUaQ8cUN@SoxL2u}1)oY5 zi(mp0b8?*)no3^xvGSs&Mb!APMHR$WSL^=ZFsNwJZ}v!djo&U6ncVv8PgJCYa^iKg zKAyH&q-XO4Mu3`A`;@}B4scgR&II-+bW=6|;F|7-$rQ_RP<-_P3V%h8K< zGQ}IVDkv&?N3nHqwi6rr;0kmsHg7(tlSvoBE!cc#OQY)blOqN(2xnX{c?^WmDB_u) z0R21^EQyLxWk-zC*cXTi0=@cw+Lkdoc(6#$H&Alyk@h1p?u9`W1cyxg5@WHjLuVNh zg*KWMZX^ITSRvz57;6t9J)?BmUTGpgDXs3-N~X(|{uCHccXR8(qA3Ik5No8cU*-!a z(`v6w{7A<}o!Qc4x9rZ`QEXvdpT|y&-Up---l$##LX*`aq#?|t&1wQ z$(9LJFl3fYW&j~O$J{A-mR2lDP$5tdM%Y(0GM!zkB9BGIGShLewp|t$gu35tpHsXw z`MvLVlsf%uNdO@zm*BQe+>s!i--|~a0VzU603v)*?;*5_NGfiO5q?&k*SNO(0f~;& zLCa%hk@=>kra}!!5{x(sI(dG!b-8gyf?;tq8N_edPmAS>nQ_Y@W2B>xLj^_8=@8J` z2kX&*&d@he6;?^y#S-&=&`RX*A8D^VhORBG?Jg(BLJxVf9opyw7GN{Wm9T7b?8|ac zikCJg98(=C?8Wj$Uw!P>_T?`Q4gJ61eZ>bA>mu$AeLRD)05Ezc1Que!tIVqGr6eOW zt5yM2+)Od=H$8_OQ$CMdWmy#_IWT635p-K5{ZG!TGxtI1!;Dc4*c^0AHrP6GuNv6w zjmWNvle>C0GAU%^)-@E!=$T-8x|2ohvB0}EDRs1w93o0f4o^BS9B^oh8xWZ_ zNDTCv?4E|{Iq1^aj|9pjdyF0*4{u(#PY#!F`m4ezC?7krvZcK0Jv_I(bALkb+BqTA z)!siJ?pcCqPrzk5qO^z*7k9)xNdly>FTFIe@Hl`-Q8mddbVk6X$Pxb=YjzuQ~n+2U=qDLbs zFVsO)3x#wQ8BT>7g?gJ7fZS;I+V%OE&-R0}kw8@y;#-CmEGCSC8iH@BkaL3V`Jhp8 zl)O^8yOdHC7G*Q#A`|ry$%;c~dPoh({+r9P?P+OP1m?ccV}zyB4FGib&eHAWZ@}N8 zC^_8sRLZ}aH=k|3=t4RoiqX167sa>nZ5ZuGPKKJ$xS^4wx-XJ8w|K zv|tgc&ek4X`z}N>_qGL*m^;Tm`p8}xXozd%ZJ1CEG3%K?L{QG%zhRQCTEu_l@<;#y z^gM8h*tlng^6+t6jHtZuOaXkZ zU+rzpa`8f>WzXrC(%nYi-9gDof%Ihh_aVtWA;t@N>D@5eSYat#6wD=RXVK(l8dUmT zoy2){tanb6K2cJgkgP`|6!5w-1fkDcypK23YZd}Et1)9A$IFEYq zHZJX8@e71%XNsK5F*lE*KBUzOI!~FrZR2eJ>C`m>vNsZ;()7kfZndkS(%3$+B^L^Z zEn7{T@Zo(Y?gJ1CIu`ji)azf;HUC%Y_0R6jf9u@tZ;t(+B<3FJ!^_C zM(WSgn(T*)QKMEU(TZwMW+)f4iqFw&<>-Nb-m=#d_XT)@nV^jA8feyzOqPAEf^S~U z&MFi$^&)1KeTD?RwqvXiHa4#>S2UI(T#af~9-Zs4Qo(pT1 zx-9qB&aSk4&MMUs@^g31>Hgd?C3q+#q{7?netmAI`gL#m+G{6FrYMk^-T+HF@_jR7 zYm;~>_{n%GWM7D1&fFl5m%#zIWLFqI#q6AtNeQuO$`AV2=88SCjJi%oOM~ZB|61yM zt^+DYJY3&GXPiP}<1CvnDn!v{9)M+zUR}EADOnW&hjPQ38iz4iHox4NCUE<;!A}Nd z#}FdiA)LKT(GP8}rjD$ta0RB^e<})yYmtrpQgq>v#`POH&!j`yG=Pk9$Nsu5BJZ`j zMm!B?R_fV$pDXf&NpxoL+qVQj1N2R~ z9^E}x*Yl_unpZFM3xuB-+_r$>(OG}F&@e~78&7}ey{!LFoGADH(Wg9H3 z`H!@53c)>U z8o#E}hlx|>Tj6&xgR^e{&u?qM=)kkwxAE~PeNtIO>#eyaVWt%|$>_p`T7h@ufFUCn z`74e@jOs&BQs3oAvQG&-QyI^214{Do0#$*co?Wz{IUE6FKhiCD05|HUvaeX;*4PVH zgn2D3lFLIz+J8aPq$<}mC~$NrBA~O`F88oj*_X=*ClqUR6G=U)1yWmM=bSnKBXM+{Z@^P zxZWhMf}MRGOxePqjKj(urXBZUSCx!-xKoSO>@xIz9q{#B^R6C6N7lxizQ+!c%kM$; zYDuTvCq`nKG0afQ)A6C1-!8RjF^11lsp*l!KJ9+_4B5$-CPGO4Hle&hW$yckj~F}Z z*HU%9eMKu=iEj&FIO!HcIR1=YB(ecO-K*e9A||LKzo`RsC~v^{*%;Zi(HN3;N8=EcK-C^XTH%%}UCz+gL{<$4Pynj!wW=b?|s(YTa)>iaaA0Aj4jF480OGMC} z4stUS66th44+x=I?gR|N{&ZTFtAdd?jHncB;|ry^D>rvATT*{C0WttS$r)uw6&F(P zyRw5@E_j_*X4q`5saIcNt*TJ|DdG+wWXEOh!Eu=!>{;ivW(J&f=DeU@2oV?jVwMS7 zfrf3)n!LB>xYy0MJE2B+4UPXL-f^i?m~RjVwvd8Zym$`6LDfTDEfb-qYjG;Iw=sj{ z0)*Smq1G5ys}H)8KI)=}vp=e|rSN6Jf2$yaPb!mtk1$!ecCSp1uVwwBqV5naPCx^PK;xtJ#JkX_|Yc?*+=3+Ynz=GQs~9R z7l*w^VPjDFygBVnYDI5%aYV)Ag7nk{d{8n_XRo;-*H$0+whQaySuT z&R1UbF@b#iIK_kPb*j}IOJg2Glsk&ojU{P=vzNmdZ@qk!yD z{8ZtyB!Lj8aybRP-(1!R>8ZeaZn$I%@7vZ7*oS z`Va>Vy(mybo|K}Bf>O>wm?Uf2w0Rmw9VP(;?=wxpWh>+cU&u%sh=)V;bsf?K%q-?X zm}sPVM+TZN>3;I2-Ny7zb-yc;*clS&W_~h4r#-3=pZ1$z%WoP~2RR`0#hSJuQFLmB z1}4fit`0W3Uk)ii4DGK5@5$WsNgjasOd^@)Hk2uhN91zMPuM?nawa*0PG=MlLBSCG zdpc2G>S)6=q)QY-5@^I;9*PNsc~sDYlM$QAi=uQf(CH~x?68hWsJ#bl`#cIs-p~#N z=|XIAjUK_Sgywgv==O<2l)oI-0)T%f#mE~SVa`lbOGZJ`72;y%y8D2w$Cr9K=>ldL zZ7^y}xO?{I?B|?AOGq_r#ryA{4-9;H^BU`=cc;-#>Ftat+PP-7YCC^yxqQH|Z~g9% z)%Tb5r?$g^LeVnAprBu*Hmy7Ic@zC~Ouyq3*t#{Y=xq@fwz;{!uc>YOVC;sBrL2^X zzlT5?KXVo62Wn_elMizSh#;RJ3-1GnL1iSHiZ4iV*w;V;nM&{=l_ec~dltVQ`B4=* zXb{2A`x;Ku%r@)Nl!drZNSO*=x`n3a<94+>K$=d7`Yptnga()D4`IMw%b+7I&QKtp z!xT(M-9FVYaQn~#<*i90+aByCG3o(`PP{|{YGT$9H0%CZU9l&1oZgsA{nINE}DEYB@*&qF?-!_t0Wc45{r;1GoXqhJ>0oNOQ+MQQU?ZIE}w~ zUZ)ezyXuK52J$4Vydwpi#@|-|YHN()#%_UjQR3T_fuPe6N8z9fno{&kLFR&k<>FVC z&KdCAtXKN;%hx>HmZh2!6Ty5nSC{*mvgFc8p5YP$JV&`};~qw|3q_q1Qv;*SDaG!n(aj-O+t0QEW4E!k z7eI1&CFkU;t;#^#e2+S^^Q~{fl!zL|k{ZpRZFzI&Nv_eRg*uNPaOGW0+5oS@VUu9? z`a`mq#i3pfm6ivz_`z7GppBLJTa4;1NBjR+&&LSz_iN+-8b#y~K$k3|U8Ii!fS){p zcuUS%A`$6FSiilw@hrdx2hd7@06Od^!@nA3FlS6dA~Rlga{F`uP)I6BvQ7FN@JiOR zj2A?7rh+Vr(xY-u$fE|;Z?4ELINs5$K(dlNh|QoXBrHy9-K45_hz=2ZQZMbMV>+dz zSYZ>CNK-37y&Cgx@`a_n8KJtq>OAYbfQ02@-T9OIS^kB>E9$rxuWokt35r`5l8UTc9No9?&QPCA2m_gY0FH z%rnnRG^cmDBFT`>xS2c^Vep(#%h6uZty{^$K#cyIB~%0R!L#7NHOLib7o*ju-VDJ# z-uoQ30Ie4n_wVh*w?*|e)H*i?lf&P9|iTo4drv2Z|FxuP9YQ%Fe5I(9d^RVITq2%PX}UqV$YG0(v<5NNa#W8y*ANGkX)06R~K zAZ{Ty@ut33#g)kNNbwQb(Y~ghL;TG?i7aVugJQbsFSzRNG!6=rNvDY%EVv#eqqW>h zU(`%`xuUgjLeSZw|Ag!;_(*QMc+A4th8`(w*E@M7mZcWwWALx*NtvrfoVcVE)gOIqHNBtc5Ys)49S#>;`@C*-yL5HLT_bb?VU*? z3=NO^5lqTAINHNS7wL6{fF4cgAzlHV=1YO!*izRLisZ$b}Mr z*AH?K;{OH!sWCbReW(WLex<~TyBs1+#0|eB3S59VWq&paDHdVxhZS&2C{dIt>`&KY zc;4?dIs@k0y`dmxl#K1J2U3XrCEyJ90G%~(n1+_UzZbyT$#k(6&jcP$r({iILP|kb zk|pn4?c{IE!QwszV2{0OpVS7ei?Reoi-^@mffG4t7)^y>Pm5#QQ2~&WqN@dTzD1FTal%GwSK>Z@u{g<;*KrTPk%T}`+j`>!hfIjs=n%OLqwG6kMKi73qkn!P&ISkm6a^vl_yv3$yOup-C| zMmiL%&4s85ibEM35r#H3Qa?~y19ZX#W?RCrHe#O_WmaDi1!Y|PV(P1_?g1O02d0KD zuNEz6oAe$)xzD>unu0M!bPjnftgDOyUgG$j>a|U<-M#&3YLk}+KF>FV8^EnsqBY7) zEQ4w9VMv*ed8)cjCa(`0KyYz)_%rjHTthL^aVl_GL)^h>Z39Nb4#%-Jy_Q9#advgx&9k||KH7$d)+L)I9d}1ZI z0<`pwLY0cu8)?Hg>(gd_ot+;I-D_8~t^6<#F@}Q6Zmol{=;)h~=^C25Q-r9N$J^7- zy>958-=%^(8{3yxk0%UW>$!&mt(%+s%O_~BIgzULW<|o|E_`+`T)tTm%+HYF-ue@} zOPkoLyE;CYJvVNlf8cW67k4-6`C;&v0p}xfkLCAlP($XaY`){MdQ!}rxuWvki53&_$^=$ZWUKU09^lxVjUk>jkC$u@II|u`)kxjIh zzjAM_)@`xF0`&L%){LRrYD_OR^Gfq9u$w~3039J5HMFU{uth7+_Lts2;8Llj9g~O{ zV5*7fIB^mN72D3}9DoRFg zYl$kSkVCc3v*}FeRyg=dVFxrDyIXFI0#rrYI56p%?6YR9LEDrASWdYXE3`EDxP!CL|M_9>|RQ? z3D3Y%WCH7CHj`|Lp$;fDt(-DPaMh2!sfMGh5qX@;KzPJ) zrDp0pJ8Ncz%EHyI9pa}efU9Qd?ZPmNGG0m%q7*xTM@3GhgVBPZ45-rcM~+s&PjDMtLEp8os~3UIS&H*DRi@mT zxHa~7rPdPI)!UuD7wc!5L06UdjYr&TiiEzM*Uj&x&6beF5`rq6h_P7Sq9Ka-OYz7t zkU%1_ICf3N+iB@}<^lPF7)0w`Rwa|N0x(6X?2X?*Hx`RQ3y^ze`kGewI=$pta(UMm zs6AGzR~OQT1V;m5P7mx6tMk&p0|2ed{M2rvRv06Dw%-g}849 z>91()v(Ly6UBGfMqe-%nD8W`kl@%}-0%+XBtlF1YJefh$Sj{~S{8!~F{_42)Em&t4 zhK{%+G-7Jm825)|f#6gwxZ(;#^$@}zFLJ+MI~H~IN;?tGu)J_>sSF{yQ((}3CpLH* z<9X=L8R$v50-_3?>~ww1RDxJq#UHS`DsL;&}ox&;X%>O(ejL%Np_gT3%KqfUf>o)6;--~2YCwc ze@>?51_t@U5nsYd^7Q)kq<@d>@&keapi>E2{2Ma%FQJwHMaKSt%l=`VR5Eq4b8$2_ zbs}K=hp+O#SwzYBpK{B8PsaXtL+1ZmGR8u{`cL%t5B~M94D4TgnE!JR#=ybxUtwTD z>gzFwV*l8Kg?if1Yq8MBFC1QZg1-Qb0J#GDu5E`RX(mkwH&~#pW4&LpH#E3Q9Q57I zZ*wJhh=_^&e19K*&X$J_c|AMAybulXKQTil5=@F!eGyG@I3Ehk3)e^f=8)3aBAC)0TCwo1xs)~k5X@9tF=8-kqxdWF70gNIklqG6 zP}U@>^OA-W(*793Vc{ylVTp*XEK{|^LZ5I>YCu)z)!Bls#uBN$(tsCQS%L6kTt!<~ z8hY(jbY(>U(###z3%^1MdyN#7iP4Gr4s8%Rpk*wd@XJ#;_-^SId+ zqyk_4`O_7lipy2=AnyU>j*QVzDv-XThCwVtc$<8`$T=P+N#TNjFrhwa_?)ibD+H>pYjmP(3kEg_X7Up1g`@Ne;n-bn z?G3J5-!};xxi&5YPiKAsONgbrQNiPaX#J%7GFid(_TF2TSW|smR^mb<6HDfz;n&qk z#j`&k-CV`Po~YEnlQRY0ItyC#Q@&z)#tjY9Yg;EgAe@_d#MZCGMA~MQon{5iY?SE< z^%E|19q4mJG5d{V?q!p+X6|4=7WSlP{4tk-c1Qyc_Z06VU}3$bcP0kUX3#cju}9cm zngOglUE2W|J*JlKK!!0jW*VNWq|!X(zKtY*#h_epm~qxdMk`Jm@CN$0onDdA)2MK> zfZPj=y&c)+5yCOee}|GqEf#QOdm52EO~!hjt1 zXF%xS`Mm%hXK1#F3d~n*uEw*S0pN1HqayPhMhM(Sh@Q22 z<}?HLt@e7Pxsfr*WXq<~rE5HP>fc56dH~)LCNxJ8Fy2K<+B8O?>pKu40Qei4OnPLD z2tB}=Yno7U?R(+pn%wMROc9R+k)jEQ;vKR{ib!CPK1UchJo0oyI_DepcVmfdXOEzM zYTX~kAmY}n@s?;u41U@z*SYu|Doq86QwzP<6I|}Q!)KXEk$24)?D9TM`oymLBi*G4 zlQ^7Heu*3zF3CR_+_jY0vQe6$}7dt*|oOH-I=yJROmkGUc#O zqvk~u><1(=)wy+yyRc{$xAR~sEiU+s-{UV}?RlDmhnmktT$6+Rg@P+ARt+#QZqSP? z93*Pt<8Y{`-nCt}+#<0uo)oO8MyF=>3B#%Du^jsBkg&VP9F=WYj5^aPrP5z;^}(S` zpI!FgC=9y+Z*HPDcT6p$9|tw%=e&R2)8Qv+P{vH`J!K^N$ZtSVj4IcOE+IiE<^5{i z?TtZ+8m^~foq<|^bH#+<0jR`?i=?0G)Y#AdNKvkHF5SmsU%Ud|yof|BCnw68Gq5lM z>O4(e+U(Z*fo&(}6Gv~Ei>EyAFeox&r#kYY58zYwnj&N;S6%Ezez&NPlIXO!1xbq) zn3<@Je;N+Qxkm7BoFTbys^At}OKCw60=t{A@J;Vv7JSz=>~b%bqgW;X9Gx;)oe%Xh zyVDJy-K6NV(fNM^vIHnog&o@A0uYSA$Y-k9DtWs8F^n^Nz2V<#ob|An9~mS(yAx4_ zT6UV1%V9!p!l zACB9kaxW}gbs$k2!nEUNypYaUcm^C#iTxy`Z(t z#NZ|AP5F65T#q#rt`zVOBIkF+XFjTp${~#e0^Q_qV-D5xW^=7eWO8og5)G%6!Xqmi zXfh$r_Tgw@azob&(wT0_X1OCBkPe?!C9tG!{dl`I-`NN2b#g%s;BU)dr8OIW4sM(= zDZ2xn;AyZ$bMdO9Aqmnw^~@Lp&eR!(r%P zh-o0S>N8T-G);eZlj28D*4k+gXg)uoa&$!2T&Q0>^D#=9;#!I21*x#CORc86JO>Pz{4ST z&>_GOg4+t8&G)v#_8f)l9{2IuC?Tc2!0B}&GtY-{I4!J*BuZD)3;T51Y@?A9|AKm<7eMo#M`rOlAa=q|8Tb#y)or@(rEf& z@u9Uh^LV>|y{V+ZJ$LmAt)R;>o&!7G(%09|-Q(@%G-lHn^3kJ>aZ z;%3xbUcdXzP%`Z__t(zXLp=Ozk#%Xw;z>q2xTY0XnEiE z08(ZR4vR{Ey&k?cn|tM&l&O?L(bZ7DFk1621DZ-{l7O{=_&j{5EIqs~C#JhBSP5OT zQk+$d0u3Czj}C35Y7jM-ZFSp})H|W&eY%b`Vr930at zV`d95`_P~gimpm@@?N6&Oi|)w*57nofn1a%dIn1dG1CKjZP5HD{lxPc^=(n70s(`Y z#AH<17k1GJ16KBNnQ^r?oLbI4ZOb(>8_DhXB_O6h@A@9%WisgH!HMM((Q_!Gun_BHaf@+eh7*7w_@{m2FLGR+{A*kK<$yv)(M zN0s4W5!Qrr2yF`Y?oKd+s93%l)bNT~?E1w1npbXuxK4WH-ND5<-8V;~bqe%Aolc+`9Q-Oy z$XN}U3ed2I??-(9qvJZ{bDgdv%eMzg7%~oSabfY$uWw9_zb7))92@}C2b5HsZ$`PX zL}yESv4kL0eb=qzFuvbsNs(-38QjM>p}_TJt9aC{(pH$>@dP1ZcQw1#<5lhqPaA}n z@AJyrAu2yl)TBJ~x~H^mqZ5}i(y2P>>gcUq)b0n&NgM6fhzD=XG(MU%qwJfvWtG z)fLY??qH18l{x%%pHjI*)eKPL;}>BG*CxZH4wWId&BnnU5td*NsEUWHwHuTs z#?)qiWLfZQ_LbN(c7D_tY+H@$rf02Rw@L4$$}1#k3-gCD0^8Xlt)SVbpOmNs9~n7` zhGIDQJN$b0D~;8o4a+PoKKF;KkF&Qe6u{WP8OTNn_$x11?N-YgI;4N^JKgPm5A!R6 z=Rv6Jabm1q^r3C6(DOYTTik#eV*tAm)8c2{Cg?Yn*0U!DH9z5Oc;Y_E?q}eJLqM* z)gr|;1T7!ep=U_)*3n-F%X}}@tmZ6(FvnxfpyAGzEF_+EI~rjz^0l-UJC6ogz7m8q zzXmo`_=@|OoTZpeUTfWGU#hnC92qZcReOjiUO!V0W8}V8z zMEbq}TCUG7)mpRr12Ek}myF<^gNT^avi?Jqk@H)$;)PxZE=d8Dfm~?E5%O z%11hk0O>q)afT23pdK)3xqOQY`JQz1^B|eDyxPIA+~iTQexozygTe+;sQytJ`UA!L zv|uAaco98Nfx3Ye{}!-4z7K-PnHWC7R}60<1WNXgw`K8)&ei^$NZgo1CbV@2YL8Kd zU&HTaxNL8A2X%%|{gb2?ar59#?DBMD$WHG)oFqC_qbXC&iP|hFPL0%JN07@=FdKOW zO~7_v5Rx81sz>|i;%q=ShWTu zvQ4GmK#(96Kq+&+Ym=N>p;?Z?Fn8QebV>n1mYz=R#gd3!_AxD^2MQPKp_Ci`#F%5P zPTAsPycGEMxLBgT0+_aLx_E-GVBh~~M{$E<4 zDVK?&GAlk$1>kSLlB{uvwt%wHWL2vb(lP4YsirLG2dB*y(-mqG1h;3jZP1$*Yvk3M zud7}MoYRe*is~99MDxxrlSHhsqqLzDs+ESEPJFg#4vyVjJBPXWENUjEKsRWxA~~Z+ zrO?_cWDDc2%;q(WsHB#6u0nahyRILFvLY9tiPIdsJY|N7)G<&N6D4H}EXDf*I!s}qyj2cPeM)M_ZJ z`#SSoXa=QmbQ#W|lE+Eae((7B6EQ~d?J3Vl8jQnx_Ywd8s0-GIO_O%5lqUz%9%!$J z@WG1Hi`zuid6NSGk#s3zkDR}*+^mU$P2=>4V5{JYs*abaHbiT%Fb~V>45m?sZC4ya z+Vfu^Fd2@+r!vVeR`Zh^MY53RAUF0Z0=!G4KlCx?GmAmw{ICb$jqca)@1#IjI@O4AnR|e2mcV(YUc)alTU;xy zIlpi?X|7&-{aPX0dc5ft*^7Ar*z)}Bz4$&Gw%V7O1xPT*hX{h}|58NlE(A7p3pDpf zvE5P25i^;(u%5Bd5fyqqPe*W;jka%}aZ z5=qjrgC3E;c;HJn3&h3ny8`pa!I>wb|Can@$YM?%ault(;0;DXkfDuH6VV zi?bGMKp4#@Jg&LKK3&(BtBZtPA=)an?;8wbS}V1qA<`K)dVUR)w3wfJ3`Ij*4S-Hy+i_Q9c9l{{0R`DQ!F9#7e6*xt(3pmGo zuE-%>vq8^C*poaEL3^%8)8##u+0ou|G5 z$57b!6kPJ|jU106SO1(r%rdVK7EjM_mXx9ssl&>a;mh9V6KeB_KvnJn$x;sQIpIkh zygTfM?C&$|RLk{+3Zi{=d8TL#On1d%s=+klwFrRME3N_#V_nP?-qW-k&EYY7H4pvJ zF=dJEZF-5?n)erijQzNE&oA{}jh33gw~cd(T-s6pF!3VSb*JBTsPTPE&X|DXGpjI* ze5GkInu9V7QeCwB_)=*qbUaLnT`?`M%^wlnK6wt&;-~H(KU%$Q==?x10NhL^wf_d0 z{7aPT|AtJM{-N6YZ$>6e|EcBsx5(r_&iMbALhs*=iT)3I@*h|Ge=LYtIoVkLEA+%$ zT{iJx4DlQE8~I8Y3TaBpwWy@cBpXJlp_3l|kwr3B2@&B{?j2O0}DU8(E3vf-39WraZ`1h~0Es zwPGO9LS8CqBPIJ+FlXGo%2cCCJZ<56l|kd|$C@VTiq{&q)K|krk_9YwSyQ=zn4U?w z_IeVOc^1dvV20iKwAp6X)PR+zu?sQs{keDfvmiCKp3L?{AyV`_TnWmzccO{496UeG zLNf*1rAfT9E8hEWhaWV|n+ef+*~Bd5H;%);S@g=RK>6gB3Y$yy8M79lu1f4b4FlxL zDJvYI1g6|E@+xCCwsakwO0MJbimodaCC}!~nNp@Xjf$(f2vl7HA3gK!stprsZoXp; zMB~tkDAb;Wr|7Turt4^Q2V-26Xx=1<+%NM~>r|`UsLGVubVNG?W`$@ptxaYj6HSR&lC(d48O=`z_r%{;{$(oKZn7{+uso^awK0q!9xk zEPEh07S=)WzO9JMe1AIrmV%9eFpLDY1C6j#0%6}?#Bn}0N-119m3sjzJCuToscOT3 z>Ucb#?`yG&Gz+1r+Ob>$DYGxUO{N{UDJ!^I>Cfqv;u5QMf*GsE2s>J2*XZ2|*8(L^ zD<2B1wFRl;TX)f$Z8f1D@Y0(G7M^O5Ep5B8vS@IW&nwC(sIG!k6XXf|gf0~>mGgAZ zf?ty-Bx;5mk+t;*$U(-kp)RLdPQC2zD;8hg9w)&Ror4_(3>DpW@q)trHZvPNd#J~y zD<+oFYKF(Gg*8KHbE8VhU25cM4FetdWIucTBhu2clOjx;{ut-0Y}{a<&+3q6VY`}? z;8<2v9gg=>Ycr!$CYE?;a$TBKe%a26gLH3W^R$;@iD-TRVV`L?ltYyP&xi0+|Qi9&@_Vcmj_>=|Q7jmvt2kx(?UFt(-E7DFjYzA;vTKW> zp<$ScLJ2cuIoPg0ZrXc@5SssL0NfOwMS;z z@JOlNw?HBLp0Zz{e7N*bZy3LU4uNiMPo99Th0~sWo_{m@Q>X}#%^p#ioN6zW!Kx+R zWcB^3+`V3%6;~ZcgL~Zx4FM{kBrv&_?#z%T9^-5P91%A4ygo4Wj<`&_Oh9x(E|B%G zfg3sV5ZxF^5k5tWsDL3ueo6(|($rA=W(j$}1+z0aK4EB>ij1(;t)nMsNc`bOl1^?b zFsr_8qA4R@XD=_E-={sllG9oD@0j9ouBfGT@Uh?G`<=(At*)#eaI>yEks(B#$gq*L zpPt1Dv7UuY{?#@cWoojETHUu=#_>h9un>RchDiup$SjBZyQ3FNqG11nxOWQjB#731 z+cy4f+qP}n_Oxx=#$A_4syLGlYQ&Ri8!UhDyE*Fw5#uQ zKwTY*90Z_{$xd~_yosYctvG*=wZ?QkSilS?Hg+M$oif?4!Q2d`Airbw9gEtQd)&9? zv34qrKb45W)ADkZr`_<*P^i+qNL+7Y>?W(@e%yQD!f`RzW>6ZvXkIVWw> zuQ>#9um`==TmQj?B3s9a?M7yeVpoWRQ4W84E(k?3ZK%R9Zyk>$zbAP=%om9oNgh=- zQY_q))k0*Fe7mt4J*Ora*K7%!H?v0P3HK$tYYfaZlw{QnEe8*vSsIGxxUpUGmNIHr zSwX@{F3lxuHG#?;0MY|fE<%7Q^{NQt1UU#pERLozZoTz+u5uI*;KQ$m5xXokKjmjr z{UivHBWT6}8}bgtU-+|w0gm-Yp3dHeS9nU$lYaA01(OVdH;Gxy*5mgpDbQmW^ByF* z#vs8yNOxYyqC28|yfwk^B=;e5U9cIZk8&q|kSrm}eV?GId1BMFptFxPmn(1|P~l|% zs_Z#D(Di5})}ay3yZRyRh1;ksgjbAU?0yTvT_^rNOV3Tak1i`sr>}f=H{pdh)R&!Wg}MdEi#^L*};$8JA7wzhD@YIl9?j~vQTu^z%!LdQ%&*=Xi)G!{hJ zUv_Kb3$qmNE3;k7f-|@IjF2(*)JuZ>q=?(3$pN|E8UrBcB`<5G>dS1h0l=Tb`I*I} zgySJ_TLwz*`FADi{j~X~zw{k^WY4~X-ZBB0m1R-`WrO#}OSUM=T*NyHxdFzs+dE}p zLnnDXiQd7+MQf*exvQL(;di~4KuBLbLUYckT5pOG{y-0=)TT36JlJE|H2L+FZ5FuW zDwSLSP%*FA5qgG7N0?@84CV%iY2C1~E!@ZYhoJtUas}VGykHj7t$TUlPYIQ=Sp@EK zI;{#@5iR5O@_hsT@W6VFSZ*ZNA{3;a?L+tMi9klKgJFMQWOUzGzxO zX*oi(%g0u4uyn<*gp?NO>@Y2#Vm=F2vy5|6(he(lK$NtRll8vf^2nnQFBkUZ8FO^i zSx2!&6h^sCTdh?llDCKkl?*n{dz=~*KRGa508o&MjUql8Xx89-t1-!o8HH@1c3!zi z%Idi2X9($3NeV94McW`GDjVDZHmtOB$dVt3#%eI8dv8`ae#>^iVlx58n#w6jH>6;&#js8ZK#)x88D-0)mY>!x7R!+I_Hk-cZ%fO? z3Nu5J98m*q&)vn!zTcDf@vDMF+L-Zy2E!f3Y9Zdmw5Ag2M1A#TY_;+4-Xa_w!bmJw z%HBXNdgK`}SjR=yK~J~k*a`m zj#P~nL3{>Bk5~@P$*9ciJRzXY;G59z+_Vmh0rpf>Ad^aMPdGzDB^Vb%_)MAkr-TPT zsQR`%>ZTlzu+svICh;gyzCEJjpdt{WtRd>A!t)07IfYQ6Y0qYug*o_f9hpgaq1kv`^|ac@n;Uz&Ys}0UC6p%fuaH?Vp<)-QHHL&jD7=fh8Am zEYHVbY*R}T2~hFxJ--!N{5N|coLt`+u+qXm=MNcQi$TCME~c9EcMt`H-p*5d zKN%-&u{U1^T7L6{J}AiMurXHIw=Jxk2v2rywT=?G$FDuY)hQP|Ryvn&Qr(ByUiP%) zGRY_4NJ_Wnd*Fd(km?q(fqZwi8T2~V)%T6D<|-Du3}c?wcrZ z4Fmk0LBT`sHg?FY;~o2K@ednQ6S*gYGav9>6U zq>NjnCEblC0oM~T?;h|<#>M{LoJSjH)p0`DV8J|!@m?FCh0B}huJtt`WND*a78V&} zcma{X>lnibes@u2pcZ)0M*H;StVqTSZA&=b$v?hw0S9fqBRSfLj_z*)?+pgYwg6mY zw4i5cca(Dn%ZlzRK1xfwV5}W*CTr8I@9CR#r*`9#;iHvFL0)M*)f{DeDE`qmerKMb zh`OcVRz4;)!n**VXg~%+mf@r^h9NT@xLir>yO#RcFbq7N`GI+GTKHzL`I(%TOLiGa zM>+^C$}Dkzj7FFvE@z1>piy}>(Y?pZ|NVu}h@^{4WM{V>`?=_#F8P>XN$+q+&hQvqs)A{Aq=`1yis4E-uKzu z=?$vAHk770@Z$Ha%&lVkQj}l@H4)l;JY|H5+qvm?H>|%MAr`?a*MQ@;k5y^Rp*qIG z&7I(Qj&N0U-3<4OBz&$BO-Dy}_S08S4h_W_BDw{TqySSn0mY^7BuGI4gjUti!ns9 zRL!BM>dc@2`$0VhIrL=xG>6zYAl*lS>o^U<7vY!{-ZT)h)F9~jlnVkyvbI$gG=_SFM4z{cctL8@M)3cnTfUArq&K5>yyw`?%J=F8I=%s0BF^Q6+E37Ifb51SfHSqogwh1$d==c-H~IggSS&>A-*&?$ikVKCE1` z!~dCSC&I(h-S3%f!UwYknk&HX@{T>$&CV=Hq(A=8_4#1O{g)-os7D7AC03V>lk_AL z>i5W2il6B3G$Y^uO(*@Zc(-f!4Qs~xruzRmFe?=K-@<-z;;6i!c-_v5{eW;kXm!@4 zgUjur`gUU-3gLsGc^JbY<4F*$yyTwR>gVXzOSN~VvUZNuCJCeD;L9u2*b z*nF%X%sv1s{t8?SRXh{~$HfP2MNj~vl@>(G2Qg0sgL@%B7NN-n*+Y*3$Sl^-{w_gI z*6gbyTqz<&_cW#6!;`|zaR|2#+2y(C^7;B!Z4MSidYj8pIDOx!B!WQVvKq8yY1^+BmewrXQ)ak zPB;fm|Ghs@n*zQnInI+@E51N!zdHd;nh~DiBr_*&xK^O+KAcJFi#vwHC@Lfx9z=pF z(~wrb+BW3r7tkZGj(J%>EQ}J#tcmH|M|;?n)JfE?&e#V+P()T($a6EQnBaiZw`As2 z2jH#ZEJ3S{Jv$3RF+dr_j?%Q!C_=W=Ah?G4wI`f)j1`J;@R>s+tqWf+ot#gWz&b28 zp9wa@$wQYgG`0GG$#48}mL;Ya$_lnBa9)E?z@!nFd|zL`K# zZKKAm$KTHrH_v9?uFvKfeI2bCeO_-WC4OOYG-CZ^Q;C5u>l&W1Z<@$-<`G&!9?p7E zsTA*qv1?e&AzKhfQ^KLbv>1DG^iHyG-^6cbC;)qFb+O*obGHbpJ{M(<;yCWML@g%W`lF+ww z7_`=(-={A}`MT; zPN4h|gS-Ql_??f+G*3@tELJx@fOKDs;^mA<9fth29W-53o)1DixYo}HH`w1^4fCqC zFM)%{knLA#!dSeeKB7*QhK~@1!Ll7fUQR310NT`(kr?fC8o*wGh5jfbhrS3@XIw$J z!nRQzHIsqmSQ+#@9b2Tn2B|d89@gj9A)2ga-Q6=W_BCg^JDFjq%{9^-K|?B`kuR*N zBTfgC`TDPH!$t3$fvth?M2f?Z3%$%L+jU$vaC>kCm@MCh8MdHbXHZZ3zsSmYWX{Z1 zXH?SgAG^#*iX_sOV7#M0Yz=cPtK*zUmu4q}2L!W$Khs3>9~nsdQVe!9v-lhZQAIMY zOykgd824V<8LbRtd#h9+M?4Y9k5l1CQ;uPnf{vN{8ZT1>iyvL zAiYNQDG#MzA#bb?oos8q7UzuK{vlYKI$=$g>`vV`my4x zm%nq2Ov_2J>&l1jS2i;1ny6{g_hQB+ISq1*t(WS1e~sp$!QbR58C>H00nis_FMJBq zGojM6w4x1p4n)6DiCS>kVPK;;xPd*gn4Wl)T7o?~y!h^NU%4*&v1NwnR=lM0%?f1b zGTKFh=UiV1MB)MRt_(mtjRLAj5O)A3b2X1r42Xt|Qm23N)}6uQ>YO=xfbIkSX@PM2 zNN>S-3DECks|6omf@7mWv4Q;%YUkFp#JQ* zuSOK~J@~~bCC6<(f})i@LNS#5%Cg#_?fFMA;t8$P{#Sy*{2zW_|2HQvax$}XA!Pku zMIX%nbxO|6)XGTM-h)u*-#|t}_J3t^IJlSz_5MjjR6QNc2pJTOEX@8jM4ap${`+ns z_I57+?&3_y{9hJp|2@I@e;c*^|0DYN&qw_KU<-Dxf0OaQRRm}wX@ec*3*-wFe?*4` zuCKDm9(CiuwIX}VsVQ|m_5mm{0qi$1T2j*T+dE$@1Va)hRl2Pd7>+MbslrdI{^xBH z6xH&^5VfROgutUe?yyi=Bq>}&u_ghaK$GLuqQyhAOUqx6S4^r-8Z|Mzk9l(NeTx{b zpBLp~Vt=oVtr)N|j4#@xFPFNy&C}ZU)0pbet*HLoIP|KeV8ya5gUmNAqXpgs6jMN&? zA6V7u)5rD9Px3ARje+|8MOKHS@h zhf2|qHHoU3(YWI<8ug_5rSngj$CDQm9?IE#dOP#dpj^h2>Q_ z)nkzZxOA{;JG~=8;SsfF6s;TxmeOzDR+a_vD0L-5RNS$kQP=qa5)MV&!^lo2Mo|&R z`tp+MSviy6-Hca7j4v+}E?B)DDBx?KPt356i1PUcsNMKh)|XZ-7~v}J!sewz9%%L_ zL6c)IcWDZ>;9PA-qq*idNh2-yA6)93YDqY`PR#tJ;d{7~u|?;QW;vgV9{1Qjsd?cRstn zt?p7FrPB0E84o4LC}a!`RRl-zv2bj`_66F#U22?35b5mKKR!dDA${4cPumLAO8EMR z90F*blvc?2VfSOCKv+sJjgg1#Wx{oVOJ^|z`hTRjkpj6dR$3YFU)3P#yyB=8;-D9S z18w1zg;M{t^C?3Zoh=42!rIzR#u-V>SvJpG=pk3X`#55o2c{P-u_TrxRq!Bo;TG%c zOI})xf-1#?>V1U|=;a+I*)>aqV^RgfIh#HvfGF@XD9&dznB&4|^rlHB&+4h|bdV$y z4nI&veDEJqeyBe8-h~pUc(@P;S6lIHG;JnPHMj+zXJdK8_A76W>xeYjD&V1~|2F*d zZkp=7NX~^g1#+f1r${Yf{E) z3WC}y-eke?;xI-F{7%2eFD||U&L5DQJw=4v-SJ2xBG>exvi0VT@In$-Ep7jV>KT<` z{)t>c1U%j8Z&aR zJiP%HkQT0}3+aqp$Z-tIBznNPPUpjb<0Jl8vko1J z?#iGI%3SL{ChAxhY=qPaQ?YtPq8=mF+HH5~UuD}Ik?-2fsJ0Yn-}6F=WC>^#CGDVe|C z-sMaH#t)iS;hb>uGtM7eR90>5ol*3#vd`yu0Rkq1ML~R%XhkSR)Kk{pN7avR>d31b zNIEkr)88TtAkr)iGSZe4#Sx8gopP=pug~%xV;QZ`7Wo32zlDNZjC| z=Th(eq}~B8Q%_V7nqi74E71?W)Fj$dNhz+B9M&c@>oS*sKLuG)tO3qRYA||Y#a&8G zV^O_~Z5IfCm7+S5Dkos-qAJtxp?ceRyQlTK&rb%CAH+ZOBETqGeJJ<9e>cd%a_0aFG>~xiRq@O)0nPtR# z_jwg^yqEgbSJb-91+T6GbfNSCGwnr7i7jfSvJ|nVmh5sDjb@T)a_65RuQq1)!`ok4(?m7e{i# zMU;6C#jPj({ELlj#UoRkexEQA<^_jqMS0Hy zk8{Dw9*##T(t%wpL$1tHyTc4JA8vimk|r~e#+PHEA0@&f zsQqDji1`hmGc=6qM&1fNHWNW=jdA1c@2K`TpA|}DKo4aB@J@y&lo&fU zIym9L6nT(@w!d2PYzp+twvA+Ug~kMpg94UHn6L0d-4S*Q9hs|q4o}(JSHp*Oh@`KA zobi?xBqoAJ=ZYApGGhrV4AIuD(VvOQj!JGaziX{J(VH%e%~>&%8%ZW=QAp0RHjuXp zy_M?!o;d6{+C4_+*>W^)iW~73=5+Yf&@b{ewZ_t}UMY=i`6<7Kuwls^Q(1G-Bdmbt zrPufG|1Ldb(~H;d!+T+j@;z+D>MMr`5^g5>dN$X4;oXVP1tamvEMT>&wYnkqOe$?! zFx8SznnT1Vz4a8oC?+DkUR=+qk}cp}IBI4~Y34=uTZ*&{G3OA8)@n(I@ttUo1u4i1 zTg#*DCO}VNr`Gg$$$p>Mti<7y@!@-Oc>nBQRME3BpkU4hji`40au`y+S@Ad;5QYgR z{S4_bs1K|e1RqfILFxIzk8(nu;0?%~>Zc5tj4d=nB8GLADOzd5+5 zkU^*2n=3suJWK??-w)&YbC9jXlhAL^_0|kQ9;ml5ApT~sHy3v~xB8%I;eEUPhUv8b zz&c-egS=0j<4&wme!r=cL^U;9^RIosamqCD+v^Yz@cB_&yfx-f!F=SAPL4?(i!gLf z6sO{qbJWPDpX;obG#NEafnH{bDL8yjzi6o#0^EG%JzB3NUbGe%%>K+y0E298QZG9v zh7wH#fI=ZKLo_IMN^(aT<&)r~1%3KW6J5Akc$MIpt_&TU)xUD`R_Rnf;fnN&r!1k6 zL2H{;x?Cv91tJgfH87PYvELX$W%C>qRq7KIh7XdDKaFv?sjb1_MwXQ(1+N2Z2Hb_6 zv%BQ22Z~KjD^8@_8Bg7p>f|G7BZv!R)b2~fo9QEmH0 ztaLYucm+FqRU3iX<6(~92W9B4#8`D19d2)*71tk24kNty_`Mig$ShL+o92i%bg+EC zKqQIUZr+`_JIJz38Lk3tuk0NU4;85%87w=nlz0MbDq>JqUS+=}ikt(~hYOn~vLpd{ zRwvYeHy6!LUK_}Jwxbn)_r4v~n{;4qunN*e38hs92@N@wPzND?6pLG)OoC<7sjPv_ zB5izH9r_g=t2rdAdQ4w|L6Z&`{#<11UVkyVDyB%LZ2bO7Z_v;Ucx7C61QXdnW9%NC z$Kvf@DzxSa@D!FtU(s9e$VUKFG%-wjdEuRp;GgDO5WtMJxya)3J(RM5f&4E?Xp!FT zD5^|gcKq$qodbv%L32{kRna~Ta;1ZzZ6bNL$YEH*z*j1_qg~Z#hve|VCPnH~y>Qbg zOFq! zfC$xV-kUm>9;glC2>ejc&uoZyPyIQvtw{6y4-cQAqeu5p_ri&|)j0>4n7TscNf8t- z%nGZ^vJ+2o7gBvbQY9rvZ6IPao((*=V+xvkd6<9%xAtA+ z^%_nVKFt0kG?%&FdZa+0=G=P2aEWHEVG8Q_dq z_vwz|ISf(p&_!;R0UwL)nwTe!BMGbwVWHPYcX*e7i5~O=Ej@LT;bt7SfsG$zyXv~9 z7MAbX!wadIZ){JFZ1sw~x9MUYT1_OgFt++{?_UwRua-(63r5MT_?Gf%HU{$S*?q}p z9lDL&t)jjGOU5SayV(G514Ftp`4)kEw3guT)}9lBo}ZEoe?=%=zt|^ch!U5l|-Y8FH`m*vrfb!z(&KWW9gnqyJy13pvf8Tl^nk6nc5I=lgImZx@K^1ZM z6?JX?r5@IRPy7AzvjGZqptTd1~}%#IvBg==YA?F`_9zK{hEjcLI|3M@1z19 zQ;$+DUCrjCX7n@(eos}j$-xBvftJ?3p_j^Ne}1joVk4m5aZTnKe_J}ceKQiHg?=Uq zXdYl-fPfiPyipMn(=76|-Gj#9i=Q;)h;48zFnSvnw5v#Nq1we(mYKEH>z@3K?m^09nx{=JRfJiuLaZH`YNSg)z;xCJ|Q1DN1&?Ue?m-K z8@lrk0&|qcm~c`{lnPl{$VTiR>A%KBsc2}=E$k$(i6aQH+BH1UWM<2Jd{Ko1{Izo> z)faR)G6J5Gcf+iy*Uo}LB+}SJYhPBN!i`5EVO&@uk?TooHKmqX?00s~Cr-y856QIE zkaEmu$AEW&2CR{|9E}E0cR^M|g|t|2?JWMVYj?*PF!BX~(>VzInY2=^(-Q+Ak$rqU zN)8#HM1ugrg7{v{9W^F6@PxbY_KvjXUxXKjy~3r;b8fec?(rj#QOggDSV*9p@Pc~v z6IjQj1QebdBvZCsKx022VIjDL3z9qHf4B|-ZcW)A;Bw5mmJsdX;TWg)Ac;1x^2k=T z6?W36E-`NAI5RBH)K^;Mz=5vcymTJYdy znIl%t2BU2jrw3Iho8a0TXre(%mKMRZz(?%SxQSo|X$d&(*%+w{h#aQ8exzc29pO}G zp`{i4SNOzG_TS*=VY;O}+N7X^0$wj~VMFO-<9xi@Y)FvNtJ<81tUbv6Zr&3gK_*mz zX^vBG?DVx_^Tl+%*ascWjDtPS@0TNYd?R4qVTXRfJMkADRZ%(~P4A@|a1>!kS>WE!>%!CVgdv zg-8=juXauAdibr*{BX`;)X?JZnCGbL5f2gJbQ*Mu^xI3lYphtf)s)WEihxEa&$aJw9~)XFw2sxgX}jdWJKjHiT5Fp9V2u05bB zByvAD#Zz0cFmQcbi3C!|`4uIqWC;Q-ZiyoU36|Eylu$<7M`XhV7incwVJJ>B75|sB z)pkb3SX(L`3gyu{IHN2w+!eZ+wi)t0waq8s1qMjw3}YY5s7Xdx+`?p1OoEzlg6kXv z0)>gxk`*r8Dp2>1*KQVdsa}9M?Z94Xn6Kyh#HvT89db+X<@Potce%GsD;irqV-TXY zKvqg}(t56vdUV{&EdsX&AH^>HvrtEn9dzakfyV&Nlg9jdG2p?f`9C5M6%#}If5yz= zK6CGe?*;`xoiSV%JP>pR0|Xw4917=#tGCDd5+9nGn0I~tO__J$sYZ(wqy_udP_}i{ zHBdp<#;85tco-NHj)eF?A!+*{yOyey(qF=~e_5E)tg@wA?+j;Z4I9JAqCJE^vl8G; zncEnkTwqW!q=JTIObH?>pmK48z^7P7oGA%CGnMCBUWWxPqeIJR9hDt6o=>^t^F}fX z!J!&TSe_i689MV1mSYe<>_o5*<3j<*AtdIA7qpy@{+Z#&NBU{@^Z2? z{+n*PHqN`uUPDY@2!7167`i!50BQgTI4Rgw0#+W`6idhi%4?|;d>t>7w!Docvvs59 zL4od*u^h;-xd10Fr!^6iu5$9wq1Thwd1D40yMt6$ug*4T#(?HS+qqGs+uu4t5Q`#v zXarXOB0rX4SpX$W>CmN6;2tJZUSdyu@Y+cy5Xq1Y8&5`eDGB+6L*!ha$H);4RI>gG zb1Rc7QV7ALnL2UhkO|uyKxkne;X>U8(l;V&7B)QDQZUz1z3(vlGuH3*TV!%&0E9K? zaOc}g$pJ=nl4~r8ih^Qn%{cSo0O#n)gtnXMPxxZYjK%+zCa zv^Px;%I<}XOwCQhO@S?kw=fPBk%kn*xuQ_Xbv-$FO8yzDNkKMR)yg&|LR{;;+n-W% zTpZ6sBT|UWaO$&ShBe_+ODgWFhNeSZTh zbvmK`9PM&G7GqQtn{JLD=kEX*Yc|BYGj>zxdB+(n8wyUP(4(1uA7%+(z`&8Xvxdn= zLD|0;sVf_iJm%}^|D0w7sOXJPQq97{bE>cwku{jrM{3gkzEy<{Maj@Ijkbt7>GF0q z#f~Qik1Tq^W=B1ne_ZQ{CfG541E)4W*cO#u50`WlCzgUt4p&0nAyY6~@TOKRp0BD=>r&E>|ws4PN zeRv}bk>_WGcYZ&dt=Kb)!cn740cuVF+UWa`sd%8g;TLU3fIol;%VncI^Z@CN0Bw4{ zv`N(SAn>38$(bYBgKeZ7NH56=(Ox14BttMKe$+sW4$WWUka6wc?7i1I>a>5!6^RDiVzaw+da6~5@%{I4u z^clN%8f|{-l~$XKJoXS(!}83=WfGH%jxZzoUjmcO@0MA(7%n`IRG_}3oA0dHlDH{! zyf=OLR17+A5rF%lg};AypI4OBx>Gn_cT9BmxTIR%gkKbp2_`uRu(IpR+6gQoHi?e8 z7xF70Jg2_Sv%BuNxjqDZ75du^9n@cKh-~3kJh(ZPB~2lyjU)J5P5U)*y1h@YGk4ZW@Ma}?SQ8oBeULpoOAXu9Lkq`{xV zgA{jWOy6y^$f;a;|Kik$@333ZDD@ZHk>9>JzMGH!DlU4peRq3U%zfVyd2&59#m3`T z(=%{AseW~-I=9>O0mSQhw-ScAI+x+od@ej1JB0s!7Log;=mq+rk16 zesy(eL9hV!1>QNJiwGmN{yEbHRagE_sAl_Kp9}vyW{26S{%Ow~wqis)`GpS=&1iBF z{+ltPFrylgqs5#d<6L$jGp(Giqv)a05U_@E8BQ4)#A*IOSqN!I!p-DHBt1{qUzzk5vn+`9AahprfLN_GfKO)yIfhW^6RGdO+W@Z}&LS(Z{lBTx=sM$Tse=+Sd#_ zRH#$4j*2%H1;a3-4G6Yvt6w+=cDS-6MTd%&CCW;vT*F6$K&z%gdTBu#y{@(?u>xVV zIRQ?5Vi8A{#O5}76`NdV^D&Yn?~_eG$!h%UbS;(Y`&dXMmkFSk0%F}%6?>sK38;5Qq#_2|~5}2S} zmWc_0Q{sZp)>s=c@A(ex*b6^N+?xh}()iAeHEu)&QNdq724X z)iG^#y(8*j_xKD8jA|Q|Qp^O^N7G&3Q3We$x`d#^*= zSrQ4IIfp4ab|{64g+<5KsCO8TV$kPL@}g$uZun?mqRb1pm8}!kJN~t@vvi$uodInU zY-y8t?#^qTD>>)M&Z23yLAr5UhtM11&*b_wT3`}nxvzFPzpjq_3QqA;PH_1r*(VXa z2yNEdjq3T-SygR2s3J5f!}XNx-ho(?YOOlS24^U`oOoOyYui5C!ZKgB_eS1#v|cD_ z4W};DSA-rgDBRr8#ZuiM`ReA1n>@NLvFq52uRfK(N_u(@bl`PuDCm$S=Ub_`VU5nw zZ%`whlubp)_;XX=tJ>CtxQEIoIjrfv$)Yufl)LKJ+>petchI^c`krgh`G3Ut(U_&- z#P|%~8WQp^Cmhu19G8VL#&F*Il^eyP+}GRLnvPOEPzmf;)aipdOf-*r#&<=we7|VX2}W?}eYeBzLXkKQrwm&CvJ$^o ze6=D7Y6iIt-uLbVR$3{b)He^kTSF0Q)=*O=>x%jI{UiFvv}R&&FGrWj2aiUzMUi3N zs9|+vs9}%uUm29}u)7;bX2aq#!X1+Tl&-vo(B}7mxKOE2XrtLq(1gIzt_WSEvylkD=n|SHS#!Eo2qt$P{Q|sbQGHV~YTIfpkz)%Br z_20|0o#@wmcEiAeE2azrbD1t z9k2xh3axy}k!W92qIwKs>D-6~#A{5h2JC%0sFo4a+;SDee=|S*qM=5dh`Q5U4Jttu zO9j4xj9M1Be?Z&53$*eD=Cj4Z1v(YqT?)K)^g(k^cnSUo+@kk7TvY44sf+E@5=E&L^dH8i)i$S;gtL+c?!apt`PXc!ZrX14@DCfz`rFWni^k&@Xc1aQE1{;v>d`9g9 zzkwPtPBB2bluv#qa#b&ydqq}C?w_5XPR+I9h`K0jp6m7>$7F6Uek6-q#J984h*yZO z9I+?BH`vTv%*lkzjLrC#E9A2M+h__fRcBWw!<+bQkM-*^v1vvgf&ReU^`Bybp}1t> z_dge1Q^(bN1{dIZXe@3dFzZ8M7r7XC5$F5@%h<}HeAPgaKn$9d&?rS}rMj25l6zOP z$@NrIuH)%9pH@gZMT3rlsofGmeP#;}4?m-D*$5Gv@HACn(F23#9<6b7wD&$Hi9z0b zA#hj1BzP`_g~fHv5ZLB?ls`CS3E3px*g&UjT%ET;?767b;!iUJ&E=VC<$>VXcS7xx z`X$TmT!VzDGb%}rB;+l1n+upl4qYHlHnlf<{_f~(+v7X5m8QOMe@UWcHpg@sQca6Ei`)D0nov^j6&}u(6oL@@ zpk^ZxEgm&G;!y7Vm}Mo>rk+mkXr6Eicdu0e8Vxj8%0df?%Us*P*x5oZt7P#H6`wq5(J7?tIJ>do&Jtt9TDr>9M&TviHy49Wc4ZcnOnO@ zmunVD9x3<>>>Px6BVry|tM*)GjHCFKVk0<{iE@s@zeauGW9cPtr}u|Wa3cu9%9LF& zT_LNQcX|oWot7<$)9yTKs?Jk8ycF)ZMtA8Ozi5N* zb0V$ow-u)#f3jkLl=2IlAPIUQ(OAqBisdY|Vv7*&2hkM;h#ThIv9?`UVIpdSQxV>a z`#N>dcl*LFX7{m2+OzOlyV2I!ZgR;NY&s%lZR_`6%z~4%gH_>~5>>cymVdq+%EDP; zX-mSL&z{~2FugE~era!E_zLFH>y+7$cZK0}R0ErS(s(cYeEy%#PZ26e?M^oCAS83w zGj5r#11}Uv)oXmI7XvG)bQ==Nbu@SW)0Ji;NgH8)eP7|)e*<5|7j=L;9Z*Od0>Neu`{@_5H<4)e3;3_MZdsPrj8++Agl8Q;A&Fp>rY=0SZ`+vM`G2lj2@$~HQ z_xQfp$DlV})rlo+bc3|8RS-=PQ2l;_-tzbuaK}AynQQ{<@rY*mSP=W0m$;dCca!(K z{J$Ktz;{~*G|!vQ zhOh8Zb_wcSB(Du|gu4NPx$d0WlV~p;qP&D`WdLJo2R~>|@A&5fJ{Gj4;o41h0$_w< zVI8uu0g~$036aW=2S846?5kPpm zfFE=jARnu#XAeN+Wn;w6ZDn``PDexQB1i>7A_L*9*anVSorq5wlIsECo6)ClCGBH0 zO;4#;8U$~MkxQ!5MRIppyAOHllJc3`07=FH4_If7%nX!7tK(*nTsey^h*N=4L4omL zf9WSxU+6|_u*W^o^zQm=73&JU;bJdSk$k|gqZAP1l@LcVJA?;Gdy#FLMN5| zq$ElzCOkMqSbKZxI(PXx&)HI#?`p0^lYc72RWx)^2D5!c&4rn$UZ$m{ zPp3^?3HGzGAg^cJTa38HpzPaP6nvs%hyDFwOzXl^PyZgFV+oROt@;JUY zrn+!DzyDQCX^jB-o^MK`qU?eR(W<8pIuv6L&(`Pk@Mh`_H)->r!$lJ_zYt%U-`9X< zfCSde#TMz}b z>Bb$}jyZk4c@l$#DhXg9V$87=uc{bDMHXAjx{X41nD6yshATg*XZ#$5yi$a(-dMq4 zB&ixrLdTG8U#YePf(6-t82JX0M4~n#UvU-3f65%(0u7o=xKA`h0y8;0j5wIiasmD(CfH9#6+`6h%jy_Zyt6bdgTi7= zc1UP&@riXHgoX}x_;$L30_`8*aaeO#bs?p|O4U@B7!qVx&%b~J#H&L@AA$$?9NrjT z)tyRBa!Hdvg!G%34_#pF6KiuuLi3nu87T#%l=b7GV`Ze**kV6`@8|b1Z6}PZ98n&K#T&|xdxsBcY+Q1<0DaHRywm~8WmA=RpwJ@m?DQbZ7X4Pndrwr>*^%W7U= z3ow&%6mN4#tDA%TswP#b|7YT$tui{r!5f(m_CQoIQf-b%?) zxP%E-kc}0hP)9F9jgG2^-r#g+H=-utY=|U=2XGLgzB^%{KQi`&$j~RQXDJFQ zINHz}q6jT3O5zOT*$^p=F&pH=?sf~&gE@=Oev3$w@uAkL1vfhBbFt76ZJhDd8UGXj zk^}{2q0XQnBg7{W`!u;USw{#FUpa(gVH`hqy*`u*`?naI$V$P~Ir;h$^B0r1IqmwB zQLd68)v=MUlEzklt~+vM#~N#uvh-6StHP&Semvf>=h(2(cf zOLpJ6){77qT;<9}GeA7u(5(gfb^J?K3GmTq^+#xa;id#2{U-8+b|*qdhHL+}I!Sr1=nO@Zv0h?eIHF@0tpg3niB5y`YGsEY&{HjhM{;&3qDoZ<2BU0oN;D+uYYe z4Gd4nwu(X?885AF;(e~{czb=zQOiFleUh76xFn%|r|)lTmN%E&%^Z8^UuVl2#(go+ z`t_7WM@0{|Y>ZYto7?(o)-QP%+b{ptyGf15_AB*8ZA0sB6B};%_CHm!&^Lef)axmv>Un4VDgmvbss~%;Dwl502O6!9Mr0jGrYQ?{4(b ze(b+$y$?2b{K@w5bpfWSzIT=|^^{x8JKMkdwc34!-^r~5b2qLYS)boMd~Kg&H^y$O z81>}3x&FJF9sPa1v?=@3M(xp9mz;m(x#2%vjQY{D zW|yDMIn`(R-J7)!pPs)S-|pKx59ZZZ`;Sl`=&;!1!87#bmFF`r_Whl7xWlJK?AM5K zxn9RFuSmJPu?YJ=9>S3cSCjpII^5}kv&a!l@BR$Ikm8UKG1j|3E|zhZkfDS$miR}> z@+dg)!%{$!TgGMdu_(D%E~LJtVj1`O7ni&?tD4K<8(fYnU+VBpO5D8DYOme5QX5{+ z?22!%zy7FdOV8Jn_tsT+@z@)-xiDgSP-e%1UXSiSNlTKplx-~x|H3nO&**0r7so6p z`IP>2e$q~#*7L8WCN9VvUQyI<>G#7o@9Wn+=2E|y9VMUs)MHKAw(|k&`gwPzCbgP; z@?33A<<(`s1s%$3i>*lQ(D(79&v)fL%Xqqbq^IYihmyF|;dp|jC}a478d;a;ZR3cJ zSk09_n)uTLLuP%SK-`YHc*TAFncba=vy&d}sJu{`*0N;fD!N}@y&*O#jjHQ(zk76) zAvg4X`)$=JN!#AcpEm7-8;L#_KHK2i<@{}T`s|UEC3S_qE1ML3BY$mEhX63x=p(YvO8)Y~xg#>h9Y zl9uZ`1@^h1T9K6B%41mhqP-i-x6CXU>3%5Y?1XJw_GY_#U+=ZHBIjz=kb@1Sl&^nl ziQ&uDqmOmm)cU(q+VI9>s!BZriiZ@PZMLo=pnH2dZ<=uxKO@7{aw6t(!?bvy1 zjn>>O-EQ)eSg){e-4*XOf0#04IJ0`Kf5`OR@?#p~tkZ$PeqJdfu1u{JPj|bXdEr6T zC%3L%`>Nr}+vbwAZwro$E$x@SzO6MM>*4m%=WE7I^2xr^^4X-1+x6XBU4E``+KI!1 zwoh&8w)yhJVNIwUtn0RZg+DVbGd}mumONco-L$JrzO9AvRB2yPhzJI zYkqozk!VWh=M)!}geU)Y{czgK%*&=3tG}`AO_^1Dw)cgcwV}t; z!rs07`VsZz^Iele2BjL+^KJ6_9ZHN!BgY1b2XCv{)&1M%+V9uLRgtljI?hFr6I z+Aqy-#Lxbbe5+`yM`Y!aH@47onl{7~wCa5}r_j7<@MBHTnmYN?6J};kn;U`G-%`aV zcAlo55&UlKzLXjM#DR^&sBcpyzd5Bclo2PGluPTjMbG^u_8wEdz1_ggb1u3yE<4lM zyX~9j_U$eWJ@jefM!)`T({y)6-5apQcY0P<=h2&kdViBFnmg~t(-l3!+$VV+tu8Jb z+;)Ta*bk>3osfMlWVt4;WkSR;pVIEP6>sf7@^Hqb`iA@sO{Wg5T9$n>Wc;-chD{sj zSGZ2~>%7;?^H!&w3+x zMYQ^%`TPZy$JZA3oIft>{aXhQ{S-4e_h3bj%2kAJ$Gsn$y&0Tvy7k!OKNG4kvqv^O zUfHC&XvD8Mb$d?N+)E#q9bG;p=4thRDsu;U-#QTZ@YbvtRiBAceZsz#3qyZf@#V?4 zyVqX3l=sdN)y-Pt{JDPR$EF3wFDQ$Z6;=CmX*=rO8RK)y@A-;HCwjMGmdlGh8}1kM zQWPx1E`7ExFMj=#^SjPx`3^Px(0Yvj&iYRE0|pIh(e8P!cEKRyVtQ|b-_CNcvk8kQ zD>glD<$LDWkicc35AdbO$M`;zJx&DckYw(*;gD9#}C7Ia*cJ3DG0 zU_@A-0b(qI%pk0K2Hy7%6Hw70K^k?4iOlKnYCK_p6+CvZDHs`W%v>w1l%D zv?=T=2)2j+7fD>Dq8UO@X`O{R?Yi@|f?Z_?VOSiYWzAu$=*t_^R>PoPv|i^d*=bi@ zknAW}ChW@pZF~NXG>83g5f(vZWRP&I8GX7Aoms}h2(1;SFj$zDGHb1d3_Upl9&{8S zSttt>GtEQ}dT&OK#=;m%uY<>MEu4JT>%o6mB+ntzkwc`NLnO~3n#YTF#EZ7!iLhuM zF`k!^z!M}m5+v9Numm1Ifx`#iXbCfI6l2LeVX`A(vYjxQCmd)8rE#PpOq)fRrSKU$ z_OFKf3sW!l8QLo@Rf)0TR+=Go>3Thx!H6+}mqs{96Jdm%H^GY|I1>_l^|XQsF_Py% zI&lC43r-+;4it|_IUr(`9gpJijDkgIo`7~FfHo^Irg;LI*U7?L(8|$?Fsmb>)lO*T z3G?ire4Z9r4p+dulq;wpM7R=fRUBG02Z7dxs{}+S;A)(I0|i{eTOAcdIGn2Ih@c>q z@OY>omB6*Rthb;bmGHdn1)OIi#ZlMCBEl(sz5xF#go6k?aD@Qj^^(E|9(f!gDsa>z zv1D+sFkcW9f*S;Qh`<^S%}b>MGbl)H(FFy8kD#>>X;KI^DXb1SUiN~Z3oH@%S2kT> z0U?zO((MI74LPVG7Z}C`Bvdv-mv0?x7 zUd_4tbXtYI(4nE41oA&l`G4@l8RQ=jvevTEy<+pn&iFgF(bJhQSJ2aD=xxnrI@e5P zW}$fy`(W>g(JOeens_uQbC{T(&L@p<69-3;MVqO780Ub2F;h=+-ME>|q$~_+wh%@O z3?U{9rKgS1tWA2W#XuRY0JM-=+Nc92h)RrQnn_swo5|r;LJz#m(B*@YVvN-5DIf=e z=nONF%~(Ngi-|zPrk=D|ID8E8L<6r5I(HKO0;-mwVE7VY8BkLS#xcs6K^Z9~4h(gRG+`O zie?^AQDj=y!o2V7m113He`IUI`kc-MYw4&Q3fUO^X+Zb3U>2*v0D~=>5jcpmGZFM; zISLih(F_oQIkdDXkJW&ynP3m3q>X^(CA>lE*n$WQnSmUL0*d7P>R>DGtq{FBq`nBk z3C$Uh;(WM+)e%QDB@?U<5kTdD_h9~J_de$sP>P`ly$&XLz>MY1`4x@eU=mn4P$oUZ zQWgoAHj}VbM3rK_#XfQFA`K=c&(Y=VJLJ10TU4X?qd_cfMA0ay%10wKaL|H+3vkRN zv;pt}REQ5@R1(|-H|QAHW~J3z(;f8ygYp$Y&Jkm|B+OeZ5OEf0TIp7pegTlH9crXv z%w9*f`Z=VTVLfDbC1-f6kqrvaUT4(;58mDcYTJJ@eEgjr>lK@SGzz~m@&9MQd=-AL z!cUk3ziLyj+SET;5&dc0*l)PAE$>7Qi$Qib%QcfHhvD3YEfaR{UN*(mKhKa(!#vwj z3Q9ZLq$Kj!^`SHEhhq7IAP9&GBpy1dWg zEYT^)Do7O0?JrOl)tD8G9X_U*!N>q=BmWrTbKk(c^3Gm6>v(ihNBe> z%!MM*E~bA(H(aApN>ozVRfMdHDgdOBdAYP1tmkh@rwNHn5g67hNTN~%@{fSR@_?0@ z{{V6XVG&0gVTNW!6L3o)JZNb%%n*US4p70>!(cKj_78(uKCG3H=%Mj26|}(1Fe?M= zvX@~qfMQ^c;GdX9Gmy%nb>z@E8kR|D&6GJbmtwMpCYXkX8BB<4B0I+;fv;(pSQ0Fi z28zRliM@D`fB2vPNHl5G;z%YBCZXc~d9VaDaGD2;Ltp_$_axa@!CKnTBUlV9<7k~= zFR4U=OJq_tu9V?89^e$4PU+=8h!nd&As2-F`KPXPN*nXBhH_d$cyoa-|LY(a0W)=x zL@bBYoW%AMD-{YjQ3ZS~;$UWTLZNIj&KFHJ$X6 zLmtu9E^@UBb!X0aYBiiWbAf3jkN|XsDHJHb=?arUy3Q3QS3``TpUodiaMFt~rP|eg zN=U4_)s2rOH7Dtb8dwX%>ZqKvxP0ENH;6X%g{ah+IX; zwaRoUo=z%NYEr7zsARZAPG|_VmLQe#9)FE782T=eg@Mcf+d1GWnW$5z$b=}-e*uRv Bi3I=v literal 0 HcmV?d00001 diff --git a/docs/research plan/engineering_rp.pdf b/docs/project plan/Project Plan UppSense.pdf similarity index 67% rename from docs/research plan/engineering_rp.pdf rename to docs/project plan/Project Plan UppSense.pdf index 28e6e04102135fb1c5f99602acb19fe5982ff63f..8dd37580c6e8ba2b8cbae96dda3a4aa38bb094db 100644 GIT binary patch delta 128626 zcmb5V1z1(l(>_Xgw3vr{{p*`Wyw zqp`iUbh7raq2}dHhDCS@D7a6uW4G^W@7au+XkrZ|2@u?UN~;g+-G(EddLxGrh%n?d z)M4$&oG-U;!7y_KZCIssL(!uNdBw1`CbynR9rY%>CW}^%J}oQHm*-Zbi*8pU4xTN} zJQ`O?IO&^*zG!n9lb|Dm@tWkyn$VUaoa=eWtvQE)V8p}A9yPfrAc{UO#hl+#V#5GM zH_qPW}`6$mSUN8B5 zlMoo;O2beYVV5I;+Xgz7MJ@-t?DgTJE{gQA&V5N!tyY4Wj2+Xy`1EpY!hH20>Upr@ zf{(W%m(>YZC#nD44!uYF=-xaPcpKnIW&ZW~B<6Z&S446oe$C5OMxTPCDF=_mSXbEU z--Rv?Ml(Ynr4urt4(h-4a7wv3FFp>~81h-xpgFMggq+B@YH0z@S7cP?G|wsePmV?x zL@?edo}>pdhQLgsbQ+uYnAQhyymyzHt~$Jv8vF2@QV5>?fN0Ne-FtPljKVH{qt>Xql?{2Sver0P&@uOpz zGwVv<2W;VZD=T=Q3ac%m%2YxvW^CABJ|wgOd7CNxNa)j5Ci}IG;UpYYt3P)$yJmr; zbgyT*Ys;RtB$jsjyRt(9@=tcVx68cxyNU=e+VAAFn`;LYYxE9#B(&QF%gQl#&MI{* z#`yysv@=8BVmV}mGyQ%j^|kIKFp&P1$|CVRWd3n%b^)=IbAa@om^eM^tI6jp?ODFt znFZcq?MG+po-HwCw$EQzE7R=3^9`qfaJ-G(?4IB?w=q+-?Ei$a^ zeEM7=JVtu-cX;{;_jT9?_jS199%5eJ|84f%0vy!oG>`C1z_zC1lkK;Gw$DUCcWF5w zSU<*YNgNKlDo7qvO81GzRa)!w`fN=cd3p+rDe+fF{_>ap+UNc)C$8KwWmm79gSK|<9yZhqy3Upe-znr4Us3e!yXDx4 z*KI)lcF?7eF@R=#$m(7DvIp<(SVsI1Yfj;h{?RlL^pMgC({pEz{!=VSOPMmEZ|vU9 z`8xZn>gS9}QdQLaNY3QvHPw(+gV6v%IXn9>JfE?}n$g4X7&fVX zEqxYO2Zn0~g*(m~n12)93^xnCX%tO!;lT}TIv7wA9XVhq+nH}~53AkNMk{o8&u9HWt z)B}Q7O=y6%YULvArB_-e!5c@)!)>?4Rl;`ufQZ``B(`5(eGO_Zy#%r)J>{p<@#;=EdhoWsvZ4H1Y)@L>e#=dntY;50HI!lzGNy zdM3&LdE^G`mUwOZ17{u8L?GE?qFJWYw}w7j!%cz|ie}N+AY1G`1I%}d%>AvMCu(HG z`x1v=R!1@^sB7J6s@Sy*7`mC$tfBjS%URy(!Xy_KUZP6s`F&kV|2{`d6r zb2gaN!?VE>gUj)Mg(glOPTqg4(f1?+UW@$a(8{sIKsGaX;~m02$o_CT)q6(y$_86K{PFTYPDuO8jwh=5tm4jIEJfv`|25llAeqqBNP{Fv zj(3qI+CBFTetWB6C06Uj!<6B!Z7rXXcVITRlQI9@EtKUw>=;@Wz9K23Z~H!`_G3%b zQ1iR#ZIIvb6c=ClZBG?O?BEG-E8F8Mb7WoT2Sd*U?VleD-D8(U9>G`MwjFsC;f&dP ztTlZi7O|lhRadxi>crN~n!2*eOm$VC8lEV`i3|#Er?;gU?n3{#8BBqiBtt=2B%RIA z>J#-kSr(={6@f<%W{(cGtO_9#-yw>QL0pj*A13~c>n+0rCeFd0FW^k*3DfR+FM?@D z?nxDjdC1bNFPphs6fX63Vq<34@`Vi&#Y@G_di*cKZwYk=)YjRC^2A^J!0LwkY$5x$>n|*Oh_oh}svn*jgQC zi&EQ|q=fh~j9SR24i88g8Bu4vfgnnGx^!k-;u`%>8UIGVXoFJCp+OaMZMAe}=KQj1 z**+Uk7~EQYz51OomgLcCbjLO?E}$TGYHbT8rHLlvtaUu3njsr>wuHZ2`SOvr%&NO# z-r;?gqSLXCw^KUGV_u-UlZuD!IbqApR8(agU;fGJW>~i&3dd-O0R$bC9+YG-7t}TwYtQj z%oEDI$;k#ch|E1Iv~!k`=YaZ?;CjPwn9H9?jDXoCGu_t!f4+$lB0!G3q`s3F=bbyq z8BE7hJWjI9Y6lb!=Z(Bwc4#dN^gL3q9NGDtC`idzN|=s};#O?Xnari}=wYb!F(k8Cv_f$QO?=+Jh!m1NS+7ge;=37h{~h^tIg) zNplfKE_7b@4;O7@H6CYHUdaXV3++I|U=%kEYI~Z9ZFB%W&mRem7O#*F#ig>BVrN)f zv9;DJ$GiZaR{Up_nvu`_cI8dd5nqZv^)RdcvvBR^2xQ03@GIJK z><)(1n<-2(FfP7V>NcX=_59@$*6CuJxu!;Gsjir`>Jrz^J}j~0TiI=U!L4>P&Yb>( z);;@xnXn2d6L<|1bO;Zliy4H-55p~zwBc_=N1HhHehatr5JTdMjs$f~7UM8;Q~{4Y8rsCzU%DqPd4CntDTs`|fb6%9DPRBM5BYUk z7AAXXDqOE-8Bp9X%aURu z?d3(q=Ab_NTQrsEooGb31lH6h3gT1d@9=YF&Ee~+u=MBZk>DL8uAr$JcK9WHr3^G$-tsT6cj1Q=dli-6*+hF?*bElAZ9^vcRZVkvb zD;}yJT0*TWNq(_obwLyJcA?MZ zulQ&t%*1yd2}m7_F=-95Q&K4D3nb1KfLUdZQF`db82Wb4!5}Q&!^YK|{pV_Kw#Mh; zXk=C=$9o%81{3bBYw{zvlBHeu1xng?ozq{44S*3kWa)Q)@CcrlohD}MXt2ADm7>tK=GJYdBer zNCgtX<)lI$WQ~Wj&gXY#Qs{0nCM3&e5+*j==ygm9x%|pIORk;w8>SyOr*3XGkunB@ zYE#&4XA~_+q1=T>Klv46!j@RC*@^cF$k-}lFt3TY!lT@^_((4=K8hR1m27@*Ah$+7 zAwI^X@*KNS6aKXJcFAo}fC#umDLl`_zScdrN-?cC^Ye9|NXFFROn6}=t+z4bFz-M1 zIiJKkp^(jYUT>H|$auu4m(zx9ORHO1?)x+D{KBtX$sx9J6R!eWkvcLsa&l4~G2=unbxcAsETdgT2AqXj|>b$aB_v}rxete3M%WVSjFZO z%DrcXbfenw(9iJ)+oar;+@Y1%-s`&AW_eZwkZU97#=(L_p+k$kV6ku4US7^dXMDOy znvHUNE+TQ0g3lf+T5sXEoClS@?EO!in2y&7PmtcT2Ty~+kH#izX=`ocLCwL>mEQ3k zo*S17M2>3z<}>&O*m?c|8jm4>kNWgF*fk{8t)N<3Ob$nf1q=5KS)BNpB%Qft$i_=J z(uB&C3L65-SYdUh;RuZcLEL6QWv?y)RC@{Tc+@Ep-Ej9s$swU zlTs4W{>V<&w#ltr$KyJUhzMLSU2L}PX8SvN^1%H2FP-cQJOLnI(MG%hrOl`E|#L5KxE{l-= z-3}$-ZnonOJ(YL!&L?||RLZC42(+L<5x{FB-v4>ohXrqTLcsq37#BwH0<-kt0uH?V zKR5i_E&*O9j+{jF-AyQt1CkM#FSN?2tzo>sgYx9cd83{0eTl~; zW;eOm`MHI)rqqZB1H-+q6cX_J7YTa!(UC*LqT(x9XqXTzjMyd=-(s^jHX|d$eP@{F z3;r4EJkzLp5cm^ai4dZ0y@PI{62!=Fzktr0aUv^gevY&+`sv4dA=Hs<5h1V>ARdY| zf9$pNfqV1slc4yhpejgs?52Kvz>6mr2oXxOT0-@P183{G>BHR>sHiMHXG#dQZSUW= zUt*RZWHZW2OViTRi~6s&>zDhJ49RjwP#1m|#)+7}6Vj+2#(_@8>u|m`keZs>i1#!E z0M6hNGww`+c({DJ8nx-<-)MGsF^eY}Aa3 z{`W86z$v1U41b#=`Y@cq^^fuYF}#uQcIwpBR9@cm{~q3|rpX&PS+TUV{O`7y6oTBQ z-^0eg$eb*<5P@28VO&Cmf$@$Ci;9X0hDYAn-UbzpiPZ)Eut4=!daZK3)TAV{I=wUO zzjFn?kp!jxQB~l>o#R3+>ffd?FbP=JquHs2La4t^R$ssBd?IURjs817 zoYp@-@sP$^{r+{#=}}0X$?Mn*Z9W7C;t;TyjiV6a@wza;<)BHIsM|GNEp>Jy%-v1SO^3qSP_=Q&=L`dY}a#FtFyn5(W zskzE=O1{nx3%L3cPK9sQLc3t&{4nGhpc)j7LtOSVWx)VEvX+KMtvRPwr4j3;oQ1ZX zon522)Z+ec@+KA<={UG6DPOck_27#Q{*)TAf~om?bLDJYh+3-uS*cz8&)f;!FNZse z*GRg3aTHQ;%^v%>PAcUPx}5I(nHh`Oo>7jU(+Dn%IiuHG$;zUsxgUS-s23`YpTh^O z-QQkFNl5{!wRDJxh))__evXUe*^R;1KL!aoT5j>a+#B~^4e$od!)3_-q;0m>@q|Fz z0B7)(sR{`RNnboweDx&JLxVgkx7^;ilyAwQ(w{2k)D-h;P5&Vb`MMs0mbey*mTx$; z(qU-X>_sUlDes>V)kh2U@M`0ALc$D*(UBBF0M5Yso24a#W^|Y$%wYpkK@S^z)(?9< zDTC3I_7$z?@-&Rkzk`2kX@4Gm4;`EINV!%TwS^4$?|qI~XgtQyR!lU6M?%MbPGnek zqRkj9FlOH}Y&U?NQmC+0yx=&_bhX`Xr~E;yxTeRBB~I2|$jg*gCJvoI_)NTUa-u*1 zSnPqAWtO6@Ddj0*Gcu`W4yL})-r3!qw1g2GgM59Pf!h-&ARs`4LCoj0lr7@_y(9Ip zQJt*j-R*g8ZLNtH2Bk2+2RuiK(yH}nV#p45ElR=X;l-Oq^$erp;sCaRU6zK_mUmT0 zjf+9A|u;Iekkq?>*X#h)|)dY;^ftb@0p$6mr->p>kuQ&aNW9U;&C38|!f z7yGF8$?MW&mRaa81eZm3O>tj4i}vKj63wEYrh40~d@KdutS>iyKz2qT`hA}kMj)?j zl2jO&Zv?(JMLn&lggNNtPNs7lF-M+}eNw8>z?MLZ^6LkW{sfw$|fxB~49DaNf$y6&-h!p2tTUw%b)s z=4mq7eHG~8)OLOH5V(twz?=1mUifeA>ZQK2DiHE^J&Kn6sm0d18E-X%>h|PW)1TwT z@O;1NV!PC!wk_O4>|~k1i4vL|Ju`h>dv5+*k=o2#zG%aWjVD(OI%yu%!>GQ>h&83F zW_6qPb#|Ka@=?=vJ(5q(>Yt7N3B+@&HH2p;SfRY$-jaV79`X|STn!W;(bzGT^)Ax-}j@mjeO~O zcv|g(ftJD=u?1#PT|H;y%x2d$Ol)! zyI=Fx17oO3Px0jMYeapzy#RKv_r|-eYUq_2Wj{U5Bj}@+n9=?n558Ocw`@Fo;ilc; zirKO9>u4UF7fe#_ey0ZY8d)w3 zd?=m1)oAv^_h)Rt*g4EC`thOCP#WvuRPm$&TU*K&Pc)-U5-*u~AR4H!52BEF;cq+( zW6AietgJ>dxFUul8ove@B7&2+H#xBD)0*`I^65|sR00<<`uzO7Q$wa5;$H=TFQu*q zFx-Y3vPyQR3|Tp@b_xOTT@DD`{l+6nnm$aaY;!@QITx9*2z*6o_C%y@>Ay^ zI@iLJ_TMA>9@4}&TLa1T^z_nS&YBM^4#z%Ag5DAoO7u*-HumB7bq>3!gspAy>|qaN zufv6Lgbe^g(}x2kob#W2t8X)T%l)sR8z(|1t?oy2Rf4cT(m*>)9`M*s)fT-RlSKWN zO$J3k-BF?nxJ>LZ%iy-1*c<;63Y>I223Bov#=oxMduM7&V~OPr*9pnXEh%APV>yWmU?55WU%=fZ zLuhJxx;hR_(hTHBsx3HUdYr&Mi2uMa=h|$83e`BSvh6mKW3Y`OjObv$$wIV?6}U@2 zU>+}t@iNvY_f$wG-GyG(RIl0a1!Xo1L^<~^yj}%5j5`9G6gfZ`M8ajoO}Vok zK?PlD6AGXgb8DW2F1G*}NRn^!NQJ!0#@KZseU6Lu1iU?<+JLiYV{VeS78=i6lGXH& z&hO3|2hq6EQU}(%AsgM0H|zI{tA7ZkgiqS8SBg}>{8(j5s`5OXQLvWl>1kB=^77i6 z#3iL+V`FO$_5&?vW%5a(F)=a3(jT+4jdXRHbKc<@aa#@oDTu6AOO39{42tp&-YjQf z0?KP0rV<KcEeKsU4ukW;*DE=Ys=#6XtZK?GU#YWF%GADn50vPA>*jxv*yCdSAdHZ3a* z0|S9SAmGr)?H&cod<;UcbcI49e{MFrZu6=v2a@QOh0ns?Rab0F9)hXLQ##X%znnJe zt;VF$^h@soVn7&c@v<@li_t-*xW@Nzd9P+ywq%L)#hE}D`m`rw!JvgZ((`LScz9`} z1|j}G_zAQDzGD#X;LPwYx}s2=sJ$stAbRmcDbTp2in>Krjfg+sbH1N^ZBly~gQn5C zYIBl8MD`nv1(SO@L8u2a2;T#CGOWXqm{?f+9X zH^gUzSwh}QPQDWcKWI?kWBjgvX7csfWy8Zj@s0P-e1x@)13X_8)XT(E_QeBK>2fmx zj}O-%0*&*#4n@ZLwLi%i7v)sDsYDvxP0_i{^kQrV7dMAio1i*1sKTZU>c@B2wzKi` zwf7nQ1w?pzhTQSgDzjke_?bf$u_tMrbm`<_el&FJ@!>%4dgI;5Qi9O_t2x!NwIibB z1I|(@OeTA5syZz`=oC5_>HwNoz*kU5;KzYv#^??#;nPmgbG*LY!kgcb!xw(MzW{Mt ztkY^)*-N9HXHO;w=7+Bz_La+17C`^BS=C3GtPHKQU~>2#h6-Y3xiuxz3kaOw#_X4! zcp(9|eA2^WOeXDPSH_&h{mYws^S4U+71G75UjFhPNi!S3sdL${1rN0_Xg;`^0^(*D zFNA8GjJ{12ILTOnW5=8{l&3D2w3W_MaHCyN)40H%v)Ki~<#9}RJFc7kbCL7t05vMr zt{nOKvmhElR>IqEJ;77BKCjL13iOUH?$-87{%>21$Vy;?-Vp&A!iklD?8 zeGmD8tww-}E-(W%XCWVJ*t^8}%cCenjnSh2&^1!$VA$I&TBMe+rH||*#V$ z>HV{23VMb*2^{XmuS;^D6VQ8nHlWS(C%FIm7t$>pIKAbEKO``dDq2t8{=hL;W zE2HJw-sX)D+J~$DCn6xW^7+`X;&+(s54Cj~mIn$r-&v}{$WnSoRgBvZf*iu?H`CB} zoG#1Fp4Th>&hFO}c6BXY$4S;W$AoL)WKMI{mI~-g%8u^SU6?5p7BY7VCdl^MYRbq;2XkUb7%^Kw z*~%Gk=P^$>4kD+As23I3ZJO86av{6#ZSKI%gDoMF!k8j*zoIqf|MZg{BVi(g=aSsZcXW2c{Eo% z^w;s?YzgY0^C31`=ahwTaA`&DaG#&%4TyjuNUnn3@=pX+AolD@9v5);YtdO5BDj6! z_u|Ei$A=SuO2qFHjKv%8D1?1ZJA>gtCrs3I?AG)Pj8-5zFl_PqK={N%EmxUGGzzY? z2edSv*DW3s5D)XU~MZj(kA)_TkXmwF>AV(2g8hd{VE7$b-!5 zyTrXszpDd!C0!5@-hqm!H12?fN3yWA#31KC1?_jtuI@XFbJKR(XAo+V@d6G%B5}aX ziX3E|KrGc4Lt@TO+#5@l4uOb(nK3FJ!`m$Wv-FPp0-IV!1nqLvNJR7fVq?~UKo$a z)s#9ISAJv(xTB$=0U!>Cq^C0ub`&8_epSTd(bI?p*`*J5ZlfaC#Id~~6jYzetupUJ z<3evr&INs8UdfDlR;!V!d#3P8{OdnA^@~nlq7fQXK*k_?MaDxPBu@(VZVX;&2L=X$ zNm*m>hiBeTMn?NVUMHD1S{@k??q{ku;E5FFZ^HMsjwg;#V z1E1nTz~={oE6Yu&2h7Zk8(mB{O~J&!+Uh51M!KeJvs66wpf!YuWK-$Gx)O+?i5U5o zKIxr!<2f6;x=u6LMKqRS``%=(-hUl9&HL%R_2)a8bE_*D($sfgNjE^+1!tP|-A8Q( zWz9DmN_ii!e=cmN8^3D)BaP0I(FZ_6JPv1$r;62MQ-VR1tGR=C1unWa|LZ_-ZCeH& z#|ueCG_FW%WBCLjBMJ@AoS&Fa5n8d$u<-0Hu06V&GjLt6 zg<_4^MJ+nDl!L_5I#gRlMaB8W_`>LXlR-tMQ?p#Xb<^kfnJXX>48s5TvjpO1^}0UO znt73FR?k8eVW1JuvXaLKoGsvPGG}JqXJU;OjgQZKHkvQ%-5$5y^ z6qI>o@aWb2#5E{a(_S;ynzWoogeyk36dLY&{|b13=GW-N@Yw4S8vD2_m;@_Ynn)?t zw2i=JCiU8kOd~80ShjyNv8tPO!o2?|QNUKDo2>rEd;%%WL1q7^m$O@oy8A4Fv)fk> z&c@_5Fw+7F%7*R+;rr8W5b~Yug(^ZGe2M18tXi@h7UBju^#xmrf^~V2Xr41e#GhY%RGU17+ zT5i4q$>g)tBi$OSg0EkBofJRAgQSMnI+oP}ZNc1r0cY8xKOdL(W(eYsRp~n2(f)~lPcJCEm(IXUFclMLqn1{dH*ctj zD)6SuhMU(`kp}c-%YOD-6^1Rp&ibh0E4|!6YjV@+SNQdiUbtj7WjjS$u2h*U0mX05 zoCy(rOp*8WYo<&&MY99$>b9tJwMuQTMK@pAiwt0a6q`tISY~+QDxc zWV_kNJ${Ac|Ex-B`77b?zY^Zk(Dia{(& z78Yu2Y4xfKWypqJlg3Svj}`$N|SHy-)&M^U5d#wVRc`{z?z^HOcIAlYZMVWeTpV~qn&mwGoUT=>xK2>SsNu_xd@ZJsZb=NFS$*wr z;R1r*^QUd-{VD<@J*qES&dC!Tbh|0!K$W`iBPWDZL*FraS7Gzl07MPTYFteNta;;l zN0iPcQ5tSd>d$E9raXJdHXfzKKuSqVODnnSx$$c>5Etcdf*`^r`7nVmx;p}E=zySe zvEK28LlmeXkjvpEakvJc%lMz|D%->u5h<&=^q%n9eDN$KY-5l9y&Bc8EQpa~EfQ5i zaxFuq(7k}rqalCYihe6t(FX3HoJFsk{uT%dUMC#sOEFOWU_c^EG(3M-(8B zq$gok_F}~fO1E_WM7q+s!|b8p9{GSQ#1NQzgL&&4if$0wOioTdg0Az2dA7_RptK4+ z21qJAQwy{C#NRQnuk`-?dl0aRx~#$Qz!k;F`Lu$}{?Opy-SSBwQBV6&I@_!b_u09L z;S^XzV03nPD#HvKWi}-@X8T=DYa?S(zKw-pF`uA3p^X=wC{)hDAme>Q{O7n~wH>Sr z9t2n#Ni-*~X?NUBN3*pvl>!2WAXWBe-rnEa?|gs}6fLyUhDaNdcb2aPlgeQmf4$Zf ziuL;5MNjXOjmS);F>rIb4*G?Nb`fuA)?BsIax=5~SC8Wb%`kLc3e*#&XhK%B?QRf+ z?sb3$;O33%Xad&YlyXEV?ww6dLHZ+5whfezld94N`^_W=;JWbx#77=Sa~!m^=3r%P z!&zQ_@CpRUAhS`STl4j-F9UBFB6_#?1&m$DugPM2K^Fd>lXav7MtL^ic8IlMNx~3@ zg|<(h4Vg3t;;fuk^*^>P0k< zBngQ9HSdv9(xAn)58K8CVm%qXrjtv{qOKE934mWB_OemEwc2A}ExJb{751DYgk1EU z4@f?2!HL-`u(XtcJEww_GLDFt$-+T;(MX@J)7=?MJa-rGEQJoRcaXI zF)l7H@QMdvMmHrD=IZRzwMyx!V7%S*dE_1CD7w$)Jmh1im!Es%o~M*1xgCiGis zS2JrB-!P%+?D?%64WrRJCA3Gy4!uJmW}Vf)v^^-_@&{1gU_l40t*5W~{H=?C-$3#I zA=*>z8ze9P>lOglNzZTek9!6o|35qbzxC~eJPrL+Q2+_kr$X+NTu&1Gy_G9s_L4=5l9PeVqvx)$k)aajrzvS^D;|@ITktTo3E&z7(R>0H zjF>wzjF>sx-zzr*xCy}fe}2Vgdw14D1UN+b!-HJu8>v7zn4c6dbiAM=pFF%0h~=aa z+cbSc_nhS%55@)xL%mKS;^zT!^$KR#Ezz?5axl3~0DKVL`qxaSyWgvil*{dAGHFTh zFrS2AWO>2l&3~;kcn3=FWjf#rHiZw`$_Eo*Fbj(jZbfdxe8Ec3uAm&?D`J^z=$F z2L-jYQx=?vucNUxI<`>%Hst<(zCH8|E)r`C>F@V96WKcDTIaA&9mJgO0a%3oo`3D2 zfirbX_xcVm{J;0jFr}BR!(t$Cv2*=nt2@?l7wprv5m@R+Wv(~VaOpT-;G^I=)1R)< z$ekIme8mDO>2qE1RL}l-a)^)PUq>h`H5Q#R#jpdewU20JE!&7*DXfq$%25xGuhwG(}X-4OY$|-xqDHI;q{S;L%;k{92#l)b}Hj zk#3u&4_@xGxD2t-=+JM>vPc14J#(8~KM4Wzz}F!M5JoB3LHC+lbmo#)t;Hq=1#-;Mx{d(031PgfQyUUx1Pl{ z^#bbtQ9kPHKk2O$d3KLJdQzTR#C3LXw(SxAG_U_6Wt{pLA3YR#C$AJMUNnlRq}bod z?DN{8LY}ay|3Nj zj_!i^Fr59_HY@$mXsyM>Qh+$q=E12_in+11OnCdNs7XCl8q9|6?EWADj)92xV8?Ck z2*)aqWrcnu5F)@1`;F2Yt%xT>MAJkw(Tmn8H2Cm&a55Hr)jovYvujTlmUr94PUMnU zKidmA!SBa!@c2&$ce&Hc!AfysdtG3E9L^r?g{~D5UyKz*VCfi<{PB zkEC1Z<@&`LvL~Oac6#j@JoUc}TtI;LpT|JTwG@*VdC}W`YoCt6^VC?$MdcT*G-uW) zXD#%KSh^?BR}>V#^u5Aid~Bx{_uc-nY-{btDJKGlg+*-q2Y_bQoC7z58& z`#(A1P3!!m=J3NbZ{e8IYqeF)q=4&>hjj)~&CoFz%Y;>R%I|kUIlaCB@!jpEyl1}i z*b-`gL~R&x*vQd!zvSdeuS^OFF45N)ZL-#8x9V2?o>Tpdw51oQTuh6jepX#?AWciKb)WCZS-)8Oy z=S{9~Io5u{6?Fz2dM93GQRr?rRlL;4=cb_hZma!_hsj>)?a*=*HF%bq#nM~M(F4G&=+q^uNOrVmQV?!=H!tfHea}=V(^%;f=SI|CZKva+ zjO=3=@{NaIr;N$GcLAAT88ytCc->q=BT0@m|Fv1H&#&(Ll;vJ(Wlp~0ukm6won8N> zqmjEdbDGs2E3=14SOG?e3Y_f8i=O-fz`Jb~Li;u2AQF{!G&~WR%E>k!$=+PaRJBRD z2*| zIj-(6o2R*CMurfmj7qqO;gu_zU%h?4-a&rv_*^vjW%Tha8l1>Fm1?=~Ryy1Lf7U-I zhrmC#k_PA~xG(Wzw*S_?R5h%d*<-yjs81TOc+ryiwtj*qeO_b?ciBvr)$0vQ{q8KA zQOa}`=QhhT1aTO;U@Q~HmdRK151R~$CySdul}|1#(g|Fbr}m*f9i_>Q#U+NC+GjN2 z&N8QKnUoXf$y%m7<-36s=Zof;tXZOl$JMi(T0od}qqT_BAp6Lv^yP>+eEB^)^n&}` zuUWgCM7i~5{3e@u)t+I6zwnnYp~%H)pe*HxW?d```Cm}oJR=Ob(;o*~IK^b9k1)?Z53(%TPX5qE z6$LB^1}3~#Gbe@D)6RtlH!pWZY?!{Vr9U`o$uwUjle$z#E(FZHY5Sz{%-TGQuY6PU zS2p5}MbNtHxhvKoE7zaQ{A~xuV?B|LENidp7|w-<*ogQxQ?jy()xt!HVCR1PY40^c zbTJHlwMjYuVpWmO4H695_0#i;9Ugj9qsrGn*^o_9eKjMeTG@j-@pWdXZ7&=a;^Vp#oQV^_{dLWVRq`Uh#?J0F;ITdB%k*ZV5=cQVn#NbFt+AOOlA+^Ke;(#q|o}VcnqIV$ri^>T^5^Kxea!13dEskAzS@b)JVa#N$ zWiBppV^RoP3O}ap1!Doyp!x!?7d|TvJMx2~q;2-e<(%Z2P9cX=-_-`F=?qh!}TcpX?vt?xG*OPMRST z64`9_MUDB|j#m6Ngp33!JAt&*p_7$=7So-DIS(tYskdg2&t=Jl1;&$aucLC?F0qu! zjdXZSX2;m?@OV#FFn$GHL-*6Cbser{U86UdG8?AitmIegbSi|o6Ss&!uj?Ihf8C0Q zr_N_LBc3E!ADXnn6aKHj4)Hr{U*09`37Aj{bvyi2MQ%B^sQZ4pQ(_j_)vJd%AyVyDdWnb*T_dku-u_eqH< zVhY;4NHY;T`#ozC&gnOShKLKYv2lD!a_I7i%NY@iC_kGo1gR7L`}^k+@b&P&*iEBa z-c<7fWlVAMdsd#Z~fnWZhPri~1xDc$cyKyQu#r{xe z-8AD7VMipXcfF`+xEM36^AJ{v`hb^Ho}!P=6XO(-j<+z;wkKx>JlZde#owGzO>`8G z^LicQdzGFHr?tTtz$-gS4MDTRSb(2`SLF0MJ)p~G=3tD)(a99y=GLBJ=c-r3bev7) z_Tfpt#))f6E{U9^zxMOoa|)U}xC&AXAnun`_D;-xRhW&r6Q_Zj(VmH6#8-;{mZ5HF z|8uo!49>`BJ?GE{7+h<-okzEbauJ0e@f+;olCR2@ZsM%*S3$=uw#9;7#L31Ob7!d$WB611#y5SwO`iVR0+7&V zM4Tb3_mS*D)~NHyBu<){W5$$g3k<yql!S}2+S!~Kwc zYpY&se?DMH&*qXVVvY1@(RqSCjF}(6CUHT05WD%Pwg2cx0Q^B=lD zq0i(Wt{O$<-vw9lON!D|Ss=PI`fPa$n>ffMj`xer4QAy+Hr>{C6g*j(%MpTd~|G7m>5ns7Z zCOp?$gdc+(J1x66`aq2?1TKCa;P5*R%&?KlstNyQU}og=P;P&C*N zVZpp4$5%_F2tK}Yc5iw4VxvsXTLnJ1KpNcm1_G}?B|rb< z?)LM8bPAoF8*Y3Q4JoZ%NL3yKrQ+L{ML1n>C@flU-n>~rQFEk@n#d1jvJ~q<64X&a zFje^^OTwJDmhvccWKk_iVM2OtnDemi#H&s@W0>5%`5RjI!wdl~Sd1DQ<$qj&|J%F& zxS1hAhg;Wr19BhWz5^?w93Di@@c#@^8G*hqKmR^FT)q)Qp(vRgoYRh27vFlAt1VCx>-A!uY| z6sx$tzrTNSvU)!KC;Gph=hdMKQ2+atg0OyF+U$f*^pB46@$v1R`G7kS!7~r`4i5R1$$00iO>-pxR>=U{)mj&P zLJ$HSH7u%Z0`@;XeQ3?ozBMs4gg_vM9v<8Rl@%2$jjps8gWm6waSZS{jYBnz}LDTQ@(b>(uv=afrasGsKku$umyn(S4c({|FRu3_F? zT2IN0j{^A4(^rxrGMW7I`MCcWl{y&9YZ6(1kQ(*>V(Y8pqUyHy-`7G!L_kVn=q^D( zYLM=F0Tn4hQc}8xqYOw&cZUKhB_K!((%s$N-TB)Cc<=rG?)`s0bN1P1?X{lutY@u_ zhUS%<%YJkMYKAQpsag$+2rWcyw~exBND z$s@jD&7~QPSHyH%>)*OVc=2XW-94_}aRM2?B}|Fr=H~W-?vctE+$h!trEfE{m5~QC z9kuQtM;bA zBKZZ1;aq}t9S*j!O7&Oly7l|lKkj(NE@JV3-LhTt##BKooI?tV;Q!--X4BSfn-V7pr{Ee&MBk~oI4iYLBF4KEWW*l zf5vAK+xk`Xf4nMLBrI6THZk^mI^D317_q#3rb$y4;plQUKiBP*+1<|(ecD*E4rd=J z2+~r2#)9}&PVDrp5*iha+f*0F{O^_JddQek@|O&v8CV-=s+>E^%1JCQcRY z`!JTkkAw-iZl$x(jvmf7vrHee2$ryEQj7eJytLzYe_xmYS6D!JWMN(t^o%pOo zgcv^-Qxn^E-o5zjABIhk%ZEA@^QbfLYqu`;HR!MFm&S4pwvv3vOB|NAUorVuK2^t- zxxc-@fqfriQ|1YQH7|Uo$t1_-SRTHqjUSIjM& zSKEz5FPGTKAECaxlnv=hRDrQ%Ljp^l9oBq`%>t?8IZA#gWN06`{wz0(HWF z-e&^&=gUu+P9w{X6(bY}xXc$~c&TEBeDCq}o_ml9OFg#wJ%XKPBN3(GHf}Y2Epku$ zGhCY)Kk1$D|2eGhZomifw^V|(_#7aQ!<9gqYxK(1v`n8A=P)^A`5KIC^<%3~^0*Vv z1>}@geg5nWImMq9yb5d#%zb&nmObL(f)AL+cPkb{_+Y14~xX3hlcw{2UwTEndRBx&iiUJpBA zc5Ykg_P*SLlz&}4m-_O{J_VoV(IGY*7k(;zLK!ol`P;8f)I}s6VcZfXe5xon@22Ov z{EyUdjuHc7EZv)*zW?I|u$mPSDL>6VNI?g2akoh2qBvW`peUame38?@*13B;P3232 zb)n)ZM=v@)t-!qT7CyvS#TMgX`+}5l(PjwCRV{5D; z`i30OMa|)*s*0-9e*e9QB?#nSYIEIr>7=*&w{9bro~}W?0oBZO>Wxby7Z&;BafD}Q(;vxdnov8x z{eqnDr}LJf+rUuNl5dFP9C6)~q&@oGLJfa1qTfbm$SI_K{u`Pn&Y}6SAtU@H$uWDQ zvFl#5gen1bHr8)R^#5|2GOdcQBXVsc4xFrybI2K^DYB1gs_RXf$eRZfqomd-Ni1YC ztt`4fdUV4z^H2McyLN2nTVX@E)s&>VKSW&&wwIE<*Qvi^RQ<<)Lzmxl0?F9W-fXKp zY5QpWC9`Ya+-vQ(rWln49p}5H!VyREuhDjexMRQkC~U;!X*sM>UDx?0Xn*VM&{5bY z!!{vTNs^k`G~etY>~`XUUxHKWf7^RT-bvX{TILvLMjjlDgn}cR;GjgZWX@wK^ap1F z^IQOXe0H&Y)4TDsdmM3FRUg*j1wH5bzgq(P+X(YMI8;Wt6X{3 z7VEA5AC{?V?k0xGb5k7mra`5*4qo9Lk}bQoWVym9Q^@T1PL=80M|PpyU44nB(+$x! ziSaXNzw|w^kz0Z8Q3uy8opsi!a9Of*{j!bC$f>%OXHB%n5gBtH8=DW5chUb91JEx+ zK+rF=UJ9X<4|kP2dEBRnQjVmywDJ=TwM({7lYVr2WykM-uif>?9EX^FXSWMZ?d4-0 z>95|+!gRjgZ?56G;B=^<>=OWaTQcF7n{ASP+|S9mFW7~x#%y|se?b5K|DpkOS0#f( zCnxy>$Fd~jxQwCL1Bz`rhF~6}H`(WVr@j1;Ihm|h!T&CKE;}D{76Laf$#4^?<0#}mg883ntS0@-7b83 zRg0BPuU5a&Rr=g1$nQ?`yD9KLb`Fn}Qg{<~7!27#X$#^p6PwT7>tBoG5&JZB60DEA z7T8u7Zl1G`S6avAS~RZbJhPEfN@AfWVZzs9V{(QczxGpyWu5Mw?#?U5_=@>ke6B8e z{#n)|ut1z9pKL@nf~Z{kXzhROVs1A@X3{oQW*|P#7y*WizBBaf^rmp?>g7-7Z>2K$G1=66i!X+l_Ii2G28*6>L<_ttU+n04FOmOPe#JlV#Kf*$ zQwL8zOkid4pUrQS(M@w|?+*+-U$Ger1F68qSQRD6l1tjiG zr7Q#oOnfg)att~6JY)ED3|Xd5WoCHyQ7JzB<%#wC7d_-(H*p2~@bQiPw5@BV=&DwF zRp0|pmZx`3jlM%r@I#l$X*L=x`c7PoCO$^u zLDILWm*ZJFt4>4r$Zzr}9sFnH<+x+KxuI%q1No0#E|j@6cg1&H3q!VRMb( zV))ip$5fUK$?6tIXS~X+StLU+WDCh<^O{>FoaETud|vOJ_>llVAap=)@`diAz}r!% zo%HlgOj_|+(#PidXraclXPUb-o5I516MjzGZ|7k@^VXjABVO}mEJBy5z=i~3sFizO z@_b*o377e=IHxM&=FvXwIr}W7o-gN4B@R5Ej#-B-o1f}UgT6qD=8GTEgo*k(3#s3y zZUxS(u?iu+TQ67NOz~wCuesqzEkyZ@KD*cF?YV?vx84+*MvD>KSGwm3ZVdF)9FCEP z0fR-S;*cGk*sQE4&A1O)AfDhuwy)L?%73~C;#|Saf4iFSL|A9Dt#0)9+==G2)%fWf zG@)YQ#A0^!HSSxqG@1C%EW$>vAH2{I3(MX6#vk_`Lr5cZ;ynDPg5-fCv$@~Yyw2fj z0*67zob_zjJrn-Z`3d)o-n(;6=!z#yg(!n0EYi@ zEZad8zFQS~Se?Q~gS_q2hxajj<=*FYB4#osM)RXW+ss^fTmcScf));XN&%})x*%}ITS zLv4prSrdA8(xUK!FZh7G^Vf6FA^FkQb=(N1K_$jP{-ddHHuZJqd~fX5W*Cm!F^b z;lr2ekow^8uxw7KSC;u5>#h~9nrfN1qDSk8PGq#sWb~Q*j9={tdY||n6asThxg)Dw z?3pp5x?>xk>zb8oo3PQi?>@zlk|OP%;!{19<0(E3Y_Xj21X19jUumpTMAVqA?1LA8 z7YV7`Y7E#DR9HOizE&bUFp0XXV>BHY>UUY+AvTT-3UxX=0#H$s6x zP@SGphl!B~Tg~jgngza^Ij-6&zL3rR{+~W?%4_W^rjE#!5uX+eQWj_=N32w+rzZak z4VG7-0qL5VnVF`R7Mo55bNRybG%gN~hys`iFfuYiNlDoN9Rjg>Sy|b`*lHlv8y`P! ze-4m!pBIMd%HoN4!WlhdJO$&~Gy2Z*v{WnW?ngc()siLd^(84Hg2J6<%8h2qn`VvD zn`XL=X2J{zaUInZHeQBc%`i;raOAjiw)}ws$d; z>5m#7bE?c~2+dh5U%=rBq##ceykXY5$b6XgRN2sQ4yZS^%bkIMi8fr!TBL%8K%=$& z`mQ(VYd}FLW!w;i`ebHoou!q0L;w5eh~;%M#Wsa>s6dd3Uhudu1hUCnZ=r}tE=>5n zw4=eZc}^NsMn?F2Z#A^(RZo)eeph$+UY~`~nQ?<&{9qB5I4X~u`9Zgc375LjF*Fpz zFb!HWdwY9{c`Wqdo~{}yD#%@7)IN~jDyqmGXR*<9Z@q_fpLUU%ZfFcD<#)owYieoK z#Il(}&JY@uNi!Tks@7xKI@v*M{N;;ug~dXDj(ff6#bZI@ZVaQ= zwXkqI-ZMWM>Fo{Q6TTvYraziEoWC`X z>xj={xy6Tu6UPc$^?v@587Dj~sOUiLwA$VEcSzcsRnoK4uXKPD5A_?xJBQ%ipZ`I_vi& zNq?YkQd=C<4Q&9jZ7rh$mk<9c@=&R{ zv+v>D`OO1&KSwP7-6zRcpV)L1?xo#L`}`Tz0^0omG&xD1E~Xn6;ijE#AW8mabQCyh z6V|~EHAeH&hp+;-v$KQu_7Y?xyZXCb5r5A4hHx0B)YGn5=>vD?l6>Q*dio+F)xf-D zWMvmw7N~*4u(&xdDXEbw;$~e!@HsB7BUZf9fqe*O*At4nVl(OQ@=wxh|Mj*BB*LFY z)}wK7F#|U!gZI`W;j{&IW1F8b_|_%;1tbKHx^PX9D}Q^h z|A}$#EHla*5K%P`#h5tFR~!d4On??jSy|cUSW3``;5HNb?RopoDieabz5luP-S)#1 z%+n+6MgD>}YCUJCjbvm6SZU5K^}PIEtD~|4!6&xUzuvdcmP_N!-a%0n71v=GY|xTo zHLGmM7~xMSZdpZB^90O}Az;&f>=LC!?=P)L0Y6X}@r`8DVdSIRG3@*s-+9MS`3qLa zZz|pf){~$QKCDi^I{mOw8_FUP7x66rW0C{X-TQ9W`G5#qDao}$vt&z% zTGfk2B#h~T(vuY#2q~X+keoSM9boY;H|yp{a&x@)T%Ng2N|ZP3vXIDGS3S{l2;)=HN_V+Pfdkh~Mi$1HP+$Jj-Zh9!ib7Bh1Bn+Fs$A%|@MtH?0zaG; zdq|SZr1)w>KDEXYh$*`@N7B*I;5~S-p|MhDCQYeaec(99+Wmwji}fsq~LLD`Z+q1?wMc0hgl(7m@Y`{Pv~2mV1d=pT8>U4BWII*ZB_ZEGYBC3D1~A z7m+hQz76R6o7Ynu5&rcWXvIY(>IJ7X7cuolYH&Lpw?kZtJHiz-s610sqv4m%C&nt} zP8c)U(w}lTwzY$PudWQKlY9!q1p-~zBXI!S4jPH z6+sVA>0nU562*QT^L9S1Z~E)}8rBmfjaczv^@}e-%D&_%;QSY2U}F-D$N&R}SX}lB zMXlX-jMK2-vsQc<8&Sxdb_l2Gw_5D*mCv|8wKILRfpS+DtiI2!2f$Fv_(Y5 zg$^{rR9szMEi5d)L3tdnX9S0NEMxLmGkc`ConPqC?#D^-H%1){@ruE=WzbFP(KNI! z7Bfq@V~z|yJr2!jB<6lVK)_RKo+}!%eCrnAzgzMO*O-wYR*0=##R{YR;YeX?kAVS? z)iHTXB1A~6-~U}oe=POXAd9xBr+)PM2j!_=KQKv&o`q#~p#R1$cvH(;r$8|~YtO5= z#;Z$rt0P|DtlcqxTCso)nZY_0tbxg8MQX>B1hQ0|~I5)BPRSxE-Q-<{v{kHwiB z1%_5!<>gXHNuDGm|m2 zSnut)ua$;1ck71j`%fh$L;JCoBn(R_;L1Oaqtq~rgnH`CAIwMr$aR7SV&+2CH z1hL$#GW72D#>|ajNwUrYC{8+|l(QPc?ix<79tdHdC8It_@WZn0r5f_9pJh|S3Aefx zQJ$XVhm*!-B~^3t ztd(_z_N0ByJnF77c;jff3Os6Ma&mV$=j$kkuk}q79s?l-1qPMr(bM;;T*oNB++7;i zuXNu1iVH1wX&DouK{`s~r*SL3TNKVh9=4k*0mMa7 z_qo{SI>XXIi5(~v1GlP0>qG*B_4RyuY;myB!=R$?wES2{$N84vCjTG-+Gn`by)9;7 zwr}mZoA6LL!Sz1;q9~;;i*s})oaIM+&h}eiM*)&aw@(f3Xc=nTsREvTv>km^+VIPK z;iMWr*i!?HgfxO1}B}Op}zjcusPP(EbRFMFRyeq9k;#IK<`qm-0uv`%$eEQYxks= z!WiUW)H&m4-#TBTfzyIsl)v0FMc$33R$=g97DBi9QIe)`$0IQ*N2vOeO z#|a#6;dNc?`h0S7!kU4rqa-w$@Ec;acAaWR56<_@9BIbCR1?0|5#8IX#m|q zXu#=5IhHK-J+4ev)$sn`JDZz}o`)C3$0mwLEO*a-{EBRt(BP2=BOITrs`d=>qkX2h ze_P>GZQeD43Yd{xTWKh6H#wc26;&3#Z5D7aR*HAx^h@2#hD6$10Z^mI1;Nm=usnZe zYt|wJ>=j*m)Z}>}1UV}8tOf<$(_!gq@|7BaYmI_fq|o?^6BcGcH2T>1{+0DAHNs!0 zsr6!PBT=+fm}qs`;(ESD>6$9O?fj^Xwe{HDqj0><=2dHL2kS0Nq`tUiFNLYs!s~>% ziM4|yhc^q1Iq@^SM==Y9oI9AP?{#e2#Yy`~66%?#%2R#iMg8N)kF)MH-hWh6J{x%D z%w>F&rEWW&Pi!ywXE^6goprx^VMiI^B1*xeZ3{NELd0O!|CD7<`OyEj)A0neyrNu_^|O76=C(bQS4 z!7prAo4Qm+FADyXe&32`{1j*}j@LZCTM){Zl|nAh?&l<@V6brvHU|BSt=M)3=DQ~S zessSoK3%hZn3XEW1X?IU1D?)6?^DhTVLA2#=seQ3oEVoXa#tAV`N@eULzBZm?p}Hq zXKZ5w{6hr`Y{t=_-TrNDQ0LS5UudPcAZLtA$p}qL+teroDNBQ& zN15th;y3?Mm6yj%Y&PHC9{6a;!GZ`H<9O3mFcIal%lOAIqJujgTzdO0nn{eM`8lV2 z4*#=+iim~bAJs0St`5!?o;!qgkfo81Zge8osB|4jA(ju{tu>RcB3U7$Q!Y8%Mkbxs z@{b_)ShKsqM9Mn6NaSGBg< zpEx!?{@wfSPt<8b->M`B6CKhWd_#b~<`T}6NZZ1mEqb#X ztx-5EAHsDzD*LcT{d&rD{yw6GiAGEtz7S#MJH}CR^pj=j26aE8>Y?!&KqOip>w77y`*5m^iHcZPlTX;>eGn!`?uCpGVZ7@(LD*{lu~H-JqN zV~IR4dwv+9tZK%#DGZO4&16xKWr|995)c3;&M>jCgi}e+!2FOqoqZ9?CDC#dd#hT; zwB5k-Q?(7MAO44>aLmgG;qHW@vMowU9BHVN7?Kc8?W+f3sQLM3@i2hsp~xzx4|6xG z;@>djGc|e9#{za_Yl)g_S9q(Jcg+zty*abHPB5DcvM(k7nn$mVRWMw(TU{0x<70?v zFfP6A%pt%8Ew5Q4Ul6}7%H|3+X+~4a1J3r!*OK>LFb?$Y9%FS7!y=pW?}cKS7;0aOjn_M!p+Wuwvz2|q)pTUEb!6Wu ziSo8263vfx@b=f6BR$4^7)ebBzG9_;Ov`kb&+G+Aiy5@Fx3+{ABa}lc5aESA0T+Ix zD;B{{MFok<%3@slkzh9IgM7I@`DwSJmd5_wq5we2GzL!&<{QaQlc>MP=udNKD|;+Q zhpm(UWRX5cfkO_qGjoG&Z%jh_ob%f`Dj$8~Z}@uQwa|H)$`~~Uh|2QgWrOR}fm-yw zE=RWC0@2O#3K5~SwNLo$g>MaS2|G8_LxNEi8Jr1zSFUYEA*qrcm5UyRoD_dkQ3yqg z25z2&HJ%p!WNCzU5N$-3PJX}>7BYRAkTdiJmfhsd^V>#+=KFPa*RQ8xX|K-g*b_-F zEiEaW?^gyqz%w!%S-M^_D3?YGle4lJhU?vM+T31SyKrR?^@i|G&%i)MZEZ4hceuY& z23|GzIw51C97|`~X;?>LX-x!FRL9)QCwUI6ghfJZu|&3k0q2-8FgAfy@9WdGtYq1(LZefl_olY5zc+=Xv$fMHckbOvujw!8zF5cRmR@^| zxb_Lu;EQ+ZQ&H=Ph=?dJFaP-^Q7-@8g>PS^MF#-Qj8RNnG|TnT*Kgm>gDc*bZTSia zbyvRvbH?!&<#|Hidc$cYiS&=iJ6jIXSQ<*@Y}Ap!mrSu|6BA0+bu2H41rT))whrWl zj`;iArf0l{xhkosbgxK`TGB$@0f5(NZ}2WV3s4jaf9rkg%CF@%>lzzJ1qUmGM$mC_ zLJ|_@X)y^Ri62moBf!JuoM+V#+?uE_U}rnrzzYmjQb3E z8*;R|29ZMUV;oD-gdSkuDA~cVpSO<_9maeD0xBHVwT+&4=$Au5^`&4MakLP38f^g4 z?~}#F-Q`kXQwWqz<&$uDl!;5IKKK(9I^j}9gy9yj)eVN)+FG$AFegu@t#RCOxj#oy zA)>%_pW@sc3!Q?F3*zDe*(^3THY$XC>JO1R@=GH=(t-C_jE@0h>~kFl24#NzDkCMe07h+iDSpYy8Usoz|9FPqY^uHA=-`!C(S2(&efo@O zIn?Lwd-Z%sFx+Mtm$7jF;1y|Lq|L-%=_W5uY6V@q@AmcgGX+rFFDRv9L4XYSB$UEq zlkok=sx@cy9wLYYE*lY4G;rMed~4MF(pO!BnGw~jc;5vx!&$En_ ze}n))6<$572$s+x^_fr71Z8CpWURFn0~e7d!-bvwY!YJkRX9)Qg#ur^xUn`QUXX3- zRrJM#Ur4Y}_qtQ0on<8$!)AVws)i(pbjcny3P8}=$k5Qx_;}*UM*?DE*~c3|?ko0J zqj=h<;lV*&1R&%VJ*g+#IoNvUx|;35{75zy7PT6o_3fLxGeZ2^)2lDuV|>gZS=!jN z<&1X9Hng^+?Y2gA2r^iuHS=xRV+is9TAxx96{@1#BUV?lltP$IO^-faV_=k4R4jqu zQ3E|IwQpt`0Pa<_w7i*xmEd5$y0&&wzB=EESxOe?v=x{0B!rZqq6V#!w@8crK_Q^9 zqFZ8K7orx~g;uU2$^u;h4 z$e%i&8h3-~7$)6%@o^>0NYbNMd0t+-3!O6`Dfd={|Cn_T8vn532k(UZ{QMma7z{Ra zqqViwa=b!b$JWm7qA*e(|@9IUuL$3|&cxWYfsf&0?*nIi2la)0zn4I{$L`gtl z>75mSsXi8#=Mnj4>krE^;j!$@-20bAKAe7hV{@~yxjEIK!wY-ofzMdbMLjj;HqLkx z6W`#ye_(fAT-B1PTldnoZf5O|=~&y+jmp*WuKl!j4I-H-UtmdnZey7Wfx|)IZ`vGj zQ>o|CbtDD>Ylq6a@Tb-D+rJ+_7NKAI@Y&#AEK80dN8SO7`VtI3oASZ^6O0aTZGWgT zyiA(ANJBmg2!T)3{o0VPsQP0&X1h)t@5G|!hh6O+bo(f-WvsHxf6gHeZ> z)qreGpDVL+#k|PCc5dOc@(-)~++x+O2h*B5XNA-as7(q5?Vd|7^DjIYl1pQO?Z}|3 zuati*TQ_=kt~LaK^or>UQmoZG_$E}gt>EnJ42rn@7Gx{er1R6#T)<@4;>V%EwP=l# zrOsSVrGk8XT4#tqIR>c&fJ%FQ7d1u<^iiFitc6(+9YzmB)g(d;S50YWe-^kBj>;Ln z^GtA$X)KsU?nEfR6wgOtG$2S95CquWY2-=DYa^o;dS-~(b#uzIOR6o9taV4YIn9tm z*JYpfXE8$+8lY?z_PBO;cmHg+xCbC9uAWTbBh~?u%!!o}>sc9L;rA)hKX*M7jRx;l zysGlm$ea40_zmkd-L3mn#3nzU3WG4Xd4%9c%{^%F>rN;ZmJIGVa2 z^KR67MIwE2n&GE%1P=f%3&YA1^i*7pm<}bWaV0Y zoerR8`4L<&mF|ufNm$5jPmxe+#b*=MMDykv2-IGbbU(Za@_>3YLEftT0os|-^x{lm zRN@JpjL30vrUh#q%@P=d%;XNv$X`F-0-{0vf4aaSigD`-o6p~BY}#sKnPb_DzIutqfXM_0Gm>3T`YK>JS_S~{-6JuP-) zWlxNZW)H}D1@M{4Etj6yeVtnSEq>v27hB;#)qy-sLGhj?iX1%ceojo|eUiE|RFj*I znH%DnQMFjU8iUC)<5fm3b;G-^@3s&@QVW2RxOTs}9D2tGS}j;&>}-QC3}u3WWV347$~XlM6hOFBeLbA{mzw%=}STufub8nWxlPT<SM|q7r`%DGAuADfnnXBgV zpLL)i;jXq?laDHyiSGKj2pF|jv-&x_ZW_Jr6iN~30djZ@l-wkRKJo2zTReYcVqy*s zYEEm>`5{aLf4M{G4EyYKe>75f5W(I%94lywzgdOco=??870!R5&5~u}Upc{>IL=!W zvB9^5-(rJ`GiU@dp>DO%(vPH(hH-Yj{hSipXuE&}45oy-tn<*yM?QZD_4z5XSv2sU zD$_y7ETD|C*qz=)XTrTfdGZ@891yD;oE((!pVQrnKrr=(LKWme^&^0y10_hGTdk}c zB0!7;x4{^MuxrGIAQzH%9?1X-AQ{Wq+WmaFBKVc-dciH!p;ztMbOZ*z(ve*Cz>bTa z9ug9&JZ4(gTBPeP&<%H@A4HoTG;?M|IK6%J=a8``r>D~i?r$F2c;`n%;9rm`(gacw zTPH6f-8A0T!C6>7w$C$#dZ-+~TSS83xVSu0kLB%Y61Bisa2aw1vEc>LjK+4?@s3N5 zUIAvb0P-x~R+#&u0*$Uvcaw&^ke5%xqE&xE-;IVlGQ#Y2mjWJzjpzC2W6QGddluT= zyJTniedgjs~u~)Un zH{yAjzs_Sx=$y^hszoj2RvIOUqW#HB}x zhtsbz46Tq{@Qa^RP?%D6Yo_X%^v`1T%p_0XQ-G>u2d^aB|NZ_wPwtCIr8{M*s2Hzg zoKC8`vOI%~HZ+ujmHjjhzJoiJ@vStZmcwmdOT~9fwZaL49eHBaTCHQYVr?sPr3ajW31a zrZR>|^RhzGB2mVQfambvRLi*Aw1Aw+{U{)2sf+kWEdbb7T5pdb+jgg1%z>N%%#_N zg!#buPw%^LOighgJ%0SSrJ>=9f_dPhPjWJ=#)&M{`e`LR)GU5T-TI6XttJ})x}R~o z&v8*Fj9u>-%cBV(3p@OTs4uI0*BuVQI=0f!3mlNO7!K2OS4m2(1K4ALDQ=PL83_QTcZ!&$GYl@ys>~af? zi8dok2|=(oj>ad*Kvm-~@I4?v=fmlBaKqYSm5dXKP(WhqWr*5d3q=q1EETTO4_=XmVr*V7Z5_C zI*VF^XsgxzJj7Q$Rvdt_l@o1@oTWRkYa#`yaAVqt;vE_1Ynj;th-Hk%C?*~&;sm3AY%Zzcc6@p7) zrLJF?G*Cdlt283wZC;tqiqw~Xy`Rm@d9oP zY8R+I=UU+AXqi6jPG^*B=%kVLcITCk#b2HgyTQJ*-9AeHhD}_2Wh`svG-bxyGDM|V z@$)4Mvp5S%N|4&k3$Na#j^k_)Y)1i8YbrlJ zfsf+B9gsp*MFjsgK|ezfO@C{V#mtQyNa53`iX#UhsTCGFI|dB7f-J-4yl< z4Y+(fwU^DvCO`~6IAd&0qP5j%8WL2AiC~TdDA`y-R5~hs*=mzx4fC$>ax#KK2e!A5 ze1b^@jQ48^V(FJAdcJ^#fJ81bniD;6zS{E-pfA4h4HCcdx*{m~z>e^AS?E$w=yPy) zSmjh>-oep%{-M2nNIVYM_&(r6P`>Zs0m^@{d#Bt}jJED;W;&h{#|!Cb)o1470ySSB zzs`T=zNQz&r;tblfQrOFdBELwbcK*d1J&65+kXJWmaj+Jg4&@lgc6K{f>aTQ{AW0! zlC?UiHHdbJCSMFgD#?4BQF#5_Zd}UMDyI3NDzj^D?}S`}Ye>pTUv@bdFextXc}JQu zE0a2O!Ojjys?OptER7e$l-I7K;fT%*3^H8630zD}5xeCT^{X7>)jky!RVqNPJ5A)g zP?dl)s-l(`DkbqtN1KboZQ6f1;1Jxp8Wf6hed<5Trm`$R)^1LL=7SX?x~4*>^gZW2 z7WdX6#REH!l5qP*6^fv9J{QmXo88 z!jVO!LJ3NTL=XQsoKgq|*e3v?)#QbNDEYFE#SyXqw=$%8_~Ju}fxdpjX-;4EtXN9M zU058VR~Zul$kHi<-s@*%Q#9mwK|-b=uNS;B9J&!V#1i)7+<>jaHa<_Zagpo77VG#OdP~bTx&#$ECtpX+q@(6vpV7Zh) zbyq&d$4pGJ!q@xwV{z@(ak|m!b;eUaz!wr89Au?ZY*u+D#G?2FlZ|3cy%%qR&P}2` z=cU>||4=#*t*DBS*%XKH?>>H9y}A-IG79M=41Y&1B8|q}G#cUbi*J`!7^qa$dHiq1>43XULI515<(=$-gNEdAwak3k0-TlzE#3^Uj`)Xf zh#F|&1N~w}DIWrX3+(DN>k`}YC;BQc6_dmEHaqM02l3VM_RXD>?&&i=vhU4B_w5H! z5HC~mBc)mW^Bm|B^8gUc$-%(^u0gOmzgU7oFo|PK+4qIJkJwIQSHRtL%?{kQ4%Psg z$Xc`>&tmj(k20*8hLa<;Hb5CABW+gBed#y4I5?S$Qt=#~i|R%Dt19Lq*J29|)?yzs z0I}hh*I@rois1+C5bf$fGB5{FuG5CfqH@&{d(oPJNxld|JBF}Nsivpm;A%_Y31YQe z!3bMGU7-?Q6x>!PI(aQR_i7D4)(d+n6!&cVA*DLRN!U7+&A;}`5)D`3TRK(=P25pu zt9z20MfqRAKR!6`l=$GZVPmZtdp|aq%E!ium@v-nt?tr5u|YFbou)7=mvt2q7-jvc$k=&I5sLO2=}Nd->5wXigQM<)0nr6{cIITK;JQ#O7+mfw{cCb^Rb%5K2werQKX`Zqg@R0qho=bWp|7Ir zvo&LIG+VWg#G zvlL6ar7RoHd)RBkwA?|GmK`87D&s1j?Db_oAzQGstNralntBZy&mn(`o{lAsI(ysl zVth)*b)hrIC8+01LBZo*+M2UrOP@EZhim*ova*yGELe$&kbN?JQ56!2c~_*hRRbY+ zjNx z0jrnt9@MI-t{Iz~w}#o4;%gjzN2NDq5H~e$e*6pcTc#<4Z9KnKyEF^3;#~8go6XL5 zy3xEoTdK703OnDQ%+c2H!E!U^#l`d6t@3sl-%ZV+7peqZ7vS!M3~mYyE-s%pq#F6O z39S~z+>!da*l_!mFG6efD9?Yg3xaPTNZ4^Nl&u#ss9gAfXKq1(8RWE0UOX^~3oc11 zFz%#WEUKa0Ul|mw>1b?}c76nmrXuqG#X=*fae95vc5PLS?d=QQOSElS=yYDN>6^zk z>{I~}=GQC~(aR?W0~$XXnW!K;JiK93MDDM4w(|{L%4fj!%*@Q7wBYNNO41?;+lMvz z{y>W~43+X!wPtR^y_RP$`t zyczfL(eCn04X9Cp8%cJ=pFe+oakffAqzo%`bOfnK4nX}3Tm$+h9QVjxAPodgb41V@ zBd0+ng>Hh=D?!FWha*sx+BbqUIs=6&Y*wPC^p)y7tblr09|-#-hK7sGXhn0dI1ubB z<@>5r$W61t*&vD=TtFRrl^qYvCh-JxVS~bGvx@iKhs4CgLqjD$f0BUvI!wANo3V+g zWfnl5Iy0mH`gON;<6jrMNQM&2yjYaj4kZO#t+KW@1nf513v2HY**M$iN|xy|AaWme zGNtQ`)K}ckD1^s%xn5AMp!~rb{2Q`%YYGtdxTg~?HMs5%jQ6#jZzG)(yyxi&c_t(z zfbwJ3*uh^YAnMGAUR1GBKa3_hnr|@rxUig5=8Qin3Ex|*8C}p-soOeDbm!6US1x^L zU#`c`^GlGb%md-{lX}wgz+MrNidBDp#zKM%ibL*uiJ@8MmdBH&C7ed<^TT<=(1r7^ zF`JE751&jxXLKh*=aU125W_fd4Fv`m!d#2PMf}A5mtG&JdT{=hlafl}Vt?}Fg`#3k zTU+?>;+_2yw6$7fmAB3Q7vGAJDI zYS%aEj(@i34=Rq5Eqt8=I!Ke}(G$z_%HPYI(1;IDNVxgukXXh<0^LP9Q+$3L%PJ6emCy6gnq zibjC%sv2Ah?GaQ(C3cI)OzL}K_P1{UFm$;he6-C;xtZUbp8-j>z2-{Xx8=1eB#&~~ zI$LE%(-nLxDgL*dCF$|j)|NNkUc!Y6E2Qlf(0r+nE7&#r=pQ5{@A-;86U!MOxaK9E zWmJ1|q~2Ah-0$$E7z+>zD|dFGh1UWJ8$!%3{-_0^fTU~3acZF6>(@3mHh6-+KL7S0 z(I^R92=6X1c@z+^T1xm`Jkkf(K_%>XrtvFELXsifeXAcWlI;&0$xjSIa*O4KdwusQ zYXW15_XpNl<7h)kVN65Po_s85^vF9d_syT0!HRHT^sC_WInHaBLK_nubQ)*8Ls<}o>mVfm*BG(!X9^2n zFzqM31VWH!Z>QNbG#oUyRBTWMKF#@}z>R&bPVw439GKb6c4PlQvZ$hpYDf-SWOG(_ z_A@7u1@v_zGxK2+TB>*%2;9*IYZR_f^Fd|Ws4^4g!=4`j^_MAEc{dthSk+nFH7|9+ zCQQ(R^v?M`PH8zg)oKxmbZbgdxRh&0D6RlAf*Aa`DT?|;uDWG5eK%kGulX_?Nffai0*Y4Jd3 z+!VI1;pHa=;I6@@v?u-&bbo>fj>t#lRY!Vs!iwP;9U8YUDl3X~K=Wz%`IMWjOemScVsd_ptFxRF@DS5`7k39e2v#Pe; zTG_+J`C&@%ospO{{-V0QevkXj%NL-|A)=z929KJUGZcj0JfOf@>k=}(G@l(2SWj11 zUQtmxBG+^q_!ppZ$)VJZq!AY?r2Z4v25{4sxP$PD8Os0Eppm|I_0hooo5T@8 z#pJ}xbdbK@t}(22M+t)I+lLn`Tfy*-osTC_$|O0O_Ne50=x_V`>7LEjWB0=9 za-Ow6>ml#=WabyVem=T1uJ{2yut`@p$VyY$z~)t$4Db%jA0_XXHgIyx5EUtW^9JV| zpRNTNTkN3tT{5`|Nb#SZ$)fRqcp)7%c*6+C%eeR}$2T4<2wE*b7O-IRucks1{7{>L zmhwpAJjLGr{%;xzeepwz$K=Y4Ls?}iqC)mMBCb{uf#Qmr!hthM^67Dlbx+EC^#CKg zgajPj|KsW{9IEV=_Tk53OCunqG}4{YQqo-l(jb!34Y#sskPwjW7D2kZK^jTv?gnXo z3w+Kw@Av%$d+mG8nz`nhYi0oHu5|17ZdEwlShs9tG8v@ywA|@~SA4uo9lz7oV=&2# zP0TVorzA04sOn}n=qK){6b7>Cz2H62Pshj>rK%Yl)g9a_EfYd4#z^8hyjQQ*Sj~qwa}I0 z)Yilh6tAi;Tsc4An*EnW0BksG;F}D1OUR#@-@=O7D5$Dy@P{!#6=^y^@}E3D+dZrb zH0az)ribXiK0ReKwtm$wYF4~Ugvrzu*^G`U*6f^`uNMt#<^Q9%02-v&c$Xp`(H z0R3AAZei%}APp@nV!ncGp#5C@S=tyuN`EUm%_To5lAUSS3~bf{i6QeXy`lX}L2O z17jzeIln_kki?$9!1Mf4FALd_KVII1&*Y|tu+(d7k%_jQkPruha+s`QwuOa~k`kb! zMo39Ru&6L&4>*~_j4|tuB#uuUllk44#Hu{bP5l@opKicG1J!!dkw6g6e-b0(V34r^ zUjtefK_4KNs+a7!E{@4$OBtf@}T*167a1~gyiLz8Puenag*v2XTanw zP}aJ&y>rHs7T`yP0m{Vg7{tWTtulUC&ozq5J4uwd(!W5UzsV^*;5%%E&P?_c=wgQ%xu9yog2)oLzESo!uVS?i+fZD>DsrqT>$`O zDw~SNh0gg?vSc1KBt8sVhl`@S1)@8;1YkVPyB6qNwPoY7sAM-m+i&vLg@CFA{5jQ? zI5#asm!4%Q8L5B6x3(iP`Tb6dNU2B#5MQ0}%kY>#UXmsB9d(HOEj09k#_2*p{)i%Y zxVv{ELU-jPL^e;|=e7Fw^qgw|w=R7c1DgkP_2rtaDA~Xx_Y<3Zl7)^YJv*xGUieem zJLk>uAEA};CL{9n<}HgCHxHz>5G9RDUL0P$4gqbhf^9#g9UqxIeF+SVIaWb@n_9dKA3tIk;J~`lC zH?|3@&2j7Y%eLl4{_|w~fUr4r1iKY>d~}piLIvs{z`-(6>GwTHscWNQWCS1tOb1l? z`=i7yLlsx#E)2k@hKdCDQb0^6c*I+in4|){W;?eTXajww>HA*-E@_mAMfluGLjlHT z2d8o&PbkCz?b|ae-LCcc={)?4XST)3$rJLeMcx&MakBYfFY9uKkh(@dzcPcLg|MWXCp_`Tqy>+ z4~S*rxkA@vX^?Th-DNdLag+F3zxzN<3dMC`7}?{`bPKk_$F#Ju?~3!Die1;LPEKIs zP!x*N<=)?K0)a_-e&JzZjhCa@Y=3lHZndXlQAVB9i892`p|Seax9+wgST+sSeLBT zM7-A{tbf^8;nzNNMF(a}kduqt{knfmy+9GwpJXV+&T+?<9JhiPni5uYjEiF}r&5Iy zZt0THAG%BzB>&$wSVXq9Sa>zABjjZIWA)e{>E!%!AEqjk z)85WN?ri&WLL=uXOJ848QGrCiEakuPB~M`OP@J9jt`bz2;61~1jy6V5LPi0`nF7G3C9XN5&DzyDi6cy?;*6l^!WR~77$#Rc7oGvD2cX$c687^ zK0-nIl#ghia#6s8hc^JKpRjQ}TrvDm=irqleDNm~6sO8=q0tJfp>Ht!@xSRsag6MCv5gUkM9jVh zR$T$t+r3LMtacY>p?6o_ z`Y6}iP9HXH8neB*ThuQvd|y_^pM86H0R@gKPDm;AT-LUt#k%eab3XnE&43^iZO^r|7a<)HV~Z=4!$psB6N-|Tjxzq5h4 zt$7Zu~6CP|=7q-NLjV9UIrvSLZ*=}}k2ESsTG{O3l1=W-PWgpkxvEiTNH1CCz zgNf7@pxm_3_N@+5s5buP`YQ(w_T*nWS(sQ<5dXH}t{DYL*0WI1YK^ZL;2DSOS~`ZZ;CX$`{m?GQ+J6`oDX~17CWde4}zrH(aNL3 zL^-vJ)4`b6_b?d#Dnhwn)QoZn-S z2U@#<2Tdm8SCRy0moFI@y2hKL=oS|iZ0+oRpIhfrkcvmslU6UWTWWrfhj8w^C`!+{}|9q3X*aP0f5jUv++?E`M%x_6pNtwMd=Z@UyKQ_cO zn>3qnprEltB{m|8xZ*0jT#@B2E6Tn|QJ3-uV;xw3Z0GL~w3&#bN)~_54?saMGX;}? zv$C?jnQiH5Ax?bDm3+HC9>*~qE85R@-)U=HPH`05p*$T|B?Mgx_Jk;g<-uPX3lbQ= ztvaBfqUOcj>gwH*?^qzx+kz_@d^g+DKR6g{LO0-rioa>yCOi=*`4$f2-uARt{!6m- zeFqi8qnB4J=Qqi9Y@dR@P2C7yLVuz)VkbRornfW&^R&ifK1_EP* zrOqi9W^9D-8~aHgBL=z$77r5|g^xVq3cIH=C9HzYE^8~YJ+yt}likOU7W4px`RD4P zt4?iS0(Zh$k6qGX!YY6N!H8>Tz^5f4sq05J+Mfy9>e7|vx^Wq*OU>2K$wN!`Q}WC; zG$w%4`Jr8t#fxuSQWeWU8L_c0IhB!k{gRk?LRtPSE-uUSP!{?_N`>GHlYScb(CuR9 z!IQMgX2gWX0G2~NF|oGJaPo;Bp~*&9R_psz?d#qQjAjv7kr5GgM{9$CdR>!FvL|-8 z))SUPVMZ@jOqUC|mY<9pbka>EX{t+y%WaU8UDph)h!ZcbtR#UI)qt{uKlQC2M$r%I z8V@CiBE@_PgAmMo8f;hlLp`>Nyl3k#QVvN3G4zX+0v3>{z>m%~$*B%7TFt%JwV!YH z0sF_{u=$5_M{NNqH1TaIP>yXc*ua&Smj@~$(Dj*#M;8{3g@K~=Y7^xf*NcxA+Nta( z=j*(;hx;i!J)Sf8W$|gHlYMuWd~fe}t-Uc0F~|>kfJ-4Y3CULchsn-Ap-}C_gn>Y%&D=w|xz-q$!n8C4@G&eVNi6Nm zf4)xx+J%ukb-S~h#ZbZX(^Gow>R)YbnZt)4Z;x%?i};MPduTN(eq(bJ43g$;PZ@(e zh_+}?NpQWw`r$`Pvo2v;=JsFIe~%F_>wy)!1UrP&HcH0EnK*WZ6eKC}$r=cBA`}r| z8O)=e#Q4R#7Lj&aM%Hxb7Hz(SW7|grT1@UFcR$+ z#>zZ9>MV1utIyGVj#{Re4lcK~t9Lkin8^9#J;m-qeQ~ts15GGZZ*^yJVm_Si)r;RA zD=VJ?21g_p-w?imNVHTbMJP7D1z~WZB{U)?;IW~H5b43T7fTz~Pb?{M;< zX@{6bV;%gBCdn?ZN1`HKOm*07R&Se4lEHrDcgDu+n!Xv%E>E84UkxWWZ*5^dx}U~o znb}IC?5^W(QpOi~&`xR>&ZWKN`7fm-y21h@f4UQ;VemtAkB4tSEE61&Ek zLiT}Z%N(3uHdN<#JKQs9>8!|iP_Xke!P6`s1xf|An1F`1I<_^rIMr{uep0VruPiSE zvV9HobnwKGBIc=MJ$I@5qf;)Lc6?nqcXs$Y4H^~8`q&dfhA%;3{gWh!T3pjgH8a?#P5gGL%qZ8NvD9BD$adB*#-Q=-aRzu?D@(RsSA z7Q@8cTymizKfjPYl6Wz^VrQEU4R7Z)@O>Kt!VmRyTayLf8yYGrE4P#{+j9T=wmKPc z%22Tw938ytLZ){4%_VPq)dcLWk`tkn2C#;vBunbq4!pX%EU@$u-x6=9?hyWcE1rw7N8!1<1V`XL3 zW)A=Etl0^CPUEr811xORKp|RCP>`7^wtFBN0q%a{tB>65wsEmxQP<9oG9p`p0hch$ z<&Qr{KVHz!rlHUXsD-$h%q2#8oYPcwWV2RP1Cnf;;39+Aa}aW zs8R)bj)%u%lh%;C{*`N{lY?vQ3DFjKi|B;ls4rRG5gD<;80hI;JK$z!@83U0LXwh~ z-(}xmygMUq0((Wd=yhSV7}4dGP)%T9ARLCy$-%L1nDidgJuLH)8`rUJC!+S85U1IZ z>=+Y`$o0+@$4=rsM7`IzA2GJxOBPKkbAm6A{jamlUR*gSw1F+1gaiI1sgCHIOVp4%>7Ws-P2ir^U~klGBp{&r|(TF@L|m{)!v@ zdZ<1l*vIFQ)_d$*e`(Mt_sakcOo-K7W~Bki`wv1%=s#8%v~$xO3PS zj|`*YKbYN6M8o41U|kYaCRmu|*Znuvr^=*J-(=$k%1~_H?~)+v)+fy6=*CDh&|XP1 zt)roTrN!1TDEevsLlMopH#zj*Lr|duiy9jlxf&vZm}98+Zk@+H-ZKXwMFDg*4Ru|f z%xsrtFLVJ@^OZ#WEJV6oo7tG9@}u|RQ0Ank6!q`wB8SzeRq&Itj_5^+c~Gj-n1p(2Ld--eoF+bKl5C;-1^fi!=? z-ACE9LV2)G`J_a~z@#E`TQ}Fvpo*L@H=9pF&fPBEq${7=B&qBM?@!-9FX#0N=Z58j znwyo{L#7jxXUE5LMz_KF(xjxx(Ta&VXhkS!(NMOiR-`=lgu1`tDGwKR5Hl5){-Pn8 zN>#b82!i%>Z<_ygcVVz_LFz_pg9N#^&q}!W@ZB1h!PbTIc&eW*eEzQ2E1bF!dRX)U zY|SeY`6g0oS9C)THebSqHpMn~7n;T=hSKn^2$`hh?gwF2F*X-!gD7&|CFvn5I)Az! z?YOg~XAPK0FqshyF98djH!5@Db|A6z*sz3|NbQ~rfbF&TLv`_k6 zNnzozk?O}kgM$9!JrOvGyGx$oRxD#@-q29RImo^`aWQ^DuT-vcnBv<6 z(>Uk~DV;1GR9es@ro^E@P>73KWihMRE~%Lu3e%RBZ8pj8JQ;?}i830h2gKfwJF!&6 zz3;xcW`YYvNvUdS)qn&SY}mHekFn>x!#ocG=J`z=zP3vyATRGX-$E!O8)0c-{~6{) z9W{Z8xJee-8}sR3dwhl-XU}kVKp`Fw!u0RK8u;+SVq-Plym3B1T9*@P0pL|;{ADN< zW}-9T+PnDk1FgT)N&Xrb}@9* zZOY1u2%bxfIfpqsX`h_1DY^5=5U|Gd1)(K$B<2LX(1=pvJQW61`kq$l5k}BW^AOI# z!2x<&hc@~2`En%pggnFQKQ=#16DFf%ba~-d*#T3E!!t4^OBSH9C`+@?(>WyBB)e3x z;`i6~_I1T|LSYcf?dc9|X)I9N97#l(h)SBlG1EWY^9&S)#=-_08$Uw*0$6czRs{Uj zhLJ5ot3sZ*`X8&fiFoetSt;KeMa8DixUy1G_t8OKfcGWhoHtrrm+v=1Fw#yq96ALVZaR`eodh3(7?SUoth!Ufh!>9*nZ>72d76T?*kMGmzsoj z$=G9RV*c54cXfqIq}sN*i*3k>5rW?J?|hS2;9{d_`x&&?q2CiD^I=!XC#@lt?stob zz8S$gv$*T6lHWZ&_JOb}M&X|qJL!CinVIvc4{lS1*PU>(@W+c{0BEC(cP(MDS`|fR zt8OBNYyn2K)^1f!J{xs|9^DMnT_NJAOP~wuBEPD30~*9k=jnvQXT)+Y{`7r5Ki`#> zJ`1>Eyr&mc<^UcJ%K5kN-`75p-xpesq-p4TZh_7aE?_x%eRaWcW-8{yD#`*06onqO zpqIS(a-Ee=MnzTLz4pVOwrMwm*D)2);$i z#WrVd|ET|VVzra^W9RDRw^U}aYkv|LUwV1zjsOQnytR?WGttGtyH$tE0 zYQp4fBBnv_Q^7t-X3p{EA|WAzA4+NhO=Q>JU-*)~&;+0aPH7M-PFZ2$KYu@5#ITbm zUYcebOW(VZ@|j-zUrl^AQpg5PFb~_bQemZX<5YJd_tNIce{SC%CP#&AX^#TI?>akC z5Qlu7h%VB*)ZR zg8G%ZmH4sXjhWoy;*H2H*4H6Z`}-Zs_k~XAe(e3pGcY)%`OuD1E_j$3eX!d1;tLOS z?QU4Zs8j2FyrJ5wui#{r(D?}Yu}kZ4mT^EEA@1c?cFs{3Iv>W#fC#4Ya86CS+!}<2 z{v~4DmYd}uC6u6T5gp)ezL#$({GE@0Vfe06Ecn%laz8J_>v0{uvI6e)e0Gq}} z_6+hf$2wEPbMQF(C!RPZO``8lYG?UeJiqJjrKH)@jftJc{pK5)SbS}}d--eXZT=dzD(E=@*tZ@M$#FbJJ!-n4(E_fb-k4?eyHc5kYPUtD~=mZqkw6V`g? zXG4C2hx>AC#m3wM0uNqmo!M5|cSn|GWeG4fd@tdXfN10~IW68y6D6<=k39W1+G7&6 zo~ME1Bdmyy485XwV|(>-6Z}Pt_^2qLjU5^o82HmCG~E?3Z$3i~H5Ppie-F^MM+6D` zKI;X%-$FyKRDTcn(YHi9`x*K8THzxJzenyt{IGta**j;sIHtEK`Ul#$E zhYNUA7ZvUA&9^+_0|^SpfjuAuhK7cKC}eU{ljj=bKIfi`397mN_G+llzAns%Q$?UI z5hSJ3sbiv7gZ)R{#2Mer`QBh+M>2#{Pu3{VBrZR~Z~BV~P-0*c=V`BF?r=evT%4BH zYn9&^2s656ao3E>K7?jtVD(hN+bRQQmoy%?gvxj0-<*6*N$-gvYA(^(yp9PteIQk; z&&-!YJ-v~LU(Ts{koDW$*So~a;=*lftuY!4GH7hxUzG1OqPo2F)V{aE1aXH&M)G`^ zDW_3BQ2#N*7_mK3;#V{S9$i8CCWSnZnmX;^@K)yL23REvTqmRolbf_N<=^OpW|vpJ;z=;u5CxsX@><E|6Y5o7aY!_gAE8F<^*~^!b}`X>yz-ttSm~) z@RXgUuHcX5cu2c75JOkU0HZv}>I86a$|NVJ)*o5L`9T?D9z-fT5|k9!CO$P(bZWj= zPFp!7bmRZ*5SF=k(KK9W$4ZDYcUE62DJz@8pFNuV^TP4?s9hf}hG^%N{~f`$kXpJe zDG3p}+Qg}T)o?+moqk_hI&ry4$;SXg{PV&-3bK8PpW^d#X0LwF*2r?)7 zmxR53P?NsuXvZ^o^Sa|aWEa-%ri3@|Qr-DkLL6N-29eA?i3m{8i?J0VUp-`yLAD8r zkU!Au39~M?H-&-;2|I0vYzPOvG)nrC_@$4WwkOL#@t>3DXf$7I^2$=Q!74#UT|Mnt zbdjNmr6tU{kUDcK0P76*eSBe6S*f6FT$w5cUX94y7MaOCeI) z23Ao~T8gKW^h;Mj9-FQ8z;Y8q{>JO&_Ccg3EYI5MJLx`2y!2WG)^Z{~r36Y0@lt1d zNJwE)+OdN;?0dqk+u<=rO6FkIbzN^g*#^@GEZ*mYOtrzS;FZ2>?NDEWzXP<1KsnT^ z?QLw@)$lquRIuPDU+3%3SXB<;cT({R)$+m<8BV9%Ng?}vjhgwPJB z@2cnsENSLfigZ*ozzKxB!opr@?RFzJ=>fFSP(Xe@2e&MGBzRfKOb!>6A>flBOCT*M z0`pZGO@iPpjStjwWwJ*7w8*eGSkWz#- zRt`1!FThI8#TDBpbnr2tJ>MR)9r~`MxS(hKJMRb4R8@&yi?0Q54fr7B;vst-bsu4p zKCJl9=;KH++qikrk?b-BFX+jeT5ryhd&YFfY=|;curXduJgqm2l6D*0XjCA*LqpJ9 z(B9{<_@gU{TKu^ zS847ya$-)Ev}U}Y&k)8H*(P4XiQVu0eA0)aMZEb_Ek*;6X5h+Jm?Gx7lN_I1e5K)% zwMh)bP7IWt-xWVnQuF}91A$Zs+}i@K=N?JdYTX}yVSl?P`0;e68nv&Vbril&MWO zEcmfYqV&f1&DQzlAxJgI1#aFlIfs2F=sh}-b94%Ivcdm9)=^woh{x~BPD;80-6E*9 zXe?Ff2awUCTU6SCAqSW!9^MPhSVSq~jg4JODrjC9_yDj|ninqKbsXiLJ!$J5jKvgwva1KG^V-SN^R zRz|4i>D>HJ}{h;MLI5{yvzI`5An z4-01nL@S=4=^pCLMnBsQwFQDgyYm+GLl@@Fen>z=VPMMg20Wh1aC)U&)=rt{Y~}SIVjmBDI%Y&ph;u7X_R;?9W_UMYmjJh7Qf`x> zHa)dpGGxcRyEw^u^-C~@)BO}O#HrnDMvU4>3R)xJMz@8F8IJwv-`~eS(y5{kXuSy+ z=ZuZZs=M(#_?3I!48Ih6cd9~NQTWE@<|?vol>GH`CYq)@46`1?5c=1-P9MMqWGx*+gyq2H!!kHMucJc zHo+skq`tIj%%89bSXjo^({p{xw{2dKvSyCC>ZZF0477b3=yh}ikeIC3H#~0i*Nkso zC6Fa?G7SH&p`d<00J$(P7j>MHdm_^_0MeD4w&$zFkax2)F76M>2s}*J;AdmeV(#rx$Vpc!Xt8gNsf zcGBJgr)NxcdN)3V(R4*Ov-5M3Rg(syI)3uR8|93tA%Vq~!qVbq4i4va0%atnSV5Zw zZ{H`JpA%sD;=v>m0ma3Wlu;)>9;H#mRB}s)bDV5!SIa_DnMh(Gq3A`9X|FKYp?Xy} zE9IoTp`rhL=^)^iDl6+sKOGwZ%e9}7>^ffj_7wr=-Gb>zbwZ*T1e#X>Y6a?m+SMHH z2b~dPQ!7PXaA2ZW;`(=q)1{$Lo#H+TK%S9Lctpy5Kld?k>#$HSdpD6p2fpi3$W_Un zbU0X&MAaYYbSYfa8x&e?0tn>VSk~jxrfx;(EA@wx)&*?zP-zF&db(l%t!#;#=t0O6ERgR1U^74aK zDKo>8Y{glfj#X*so%i{Q>2bCkp{fd;7sK|DyL~CkA;~lP4GvQ!Ux`+PAT%EIuiZvl zUcT{hz5-YF;Smw{_DC`p8lgsu0Ph3trV&*>O9I@_UY|*1ON+lr$&VSKD*gM|AN&B! z@?g5S;q@)6xx*W)n!WVc*eLV(q{S`Q(j9c|e2V3Kx#pr|Tk9oDL?MSrEw!eOtknT?90Kl z5dIn0pZjO4XL_9`EsJ37LRK?_mKEBsIf&06upz+-Fk~boFJ7#L!4}}_vmzQNpO?we z1!;<94^}{^9P)43;D|Ca_VN9c=qFr09#~BOON5>rfQ$EK;OZvT4_(1LNrauHz8C_+ zQ8_i$W5(L7!Gk%CsK9@Wj`!wih=^Qjjrkl%H*~#fE~-gIIAh}8RaN4lpkGVXTwl`@ zh$WQReUHF;(dPB&%b(fZPG9X8n@`B0;)h;B*}``RMM;9mR)6(1shlndk$&^G()!cM zUAeb3k1Nl|9q+lCu}9i^&qt8o`|!n5Xb7vYa_JGq^Nr79Q}#V(djh=*;0%s{O-!?wkV%R% zUkoWujlfMUJlC{!HTfAHx)LoSlEEM~^(taJ)}#9&sPOu8DOLyCqSRqzCi^&xWOpU; z91L^=fDGZQLL332X`rqGN{2i&G?EdEpowU4ex5W+3N+@WrKOGc5kr??=dcNY;Earn z_QH4I3`f-y`u=}s!_R*dV(7VC{rkl!znD4()W7}- z_Yi8J4g(VreEyN+5Qqfp=(tv;P5agFpwRc;U3Wvc*B}#_`?5uadPT$0wo=( z^^g!G5rbuOFvH;<-xDn@ zk>w`23Ffh}is90>CQ>c3uA`Hc90g{p=UiphB)R`JIAmnx@{}A2ijAMHtbS;O#U&-_ zsIHE@JUq4+;BFEU5_o!(7pqeN*#-=h&`HVjd;6w@=K0_>y1K>_ls*~^x~Oh2E&>Am zyf-1Oa}7 zX&x^^Po)Bl^l}pgrJ>GnC+$D`&?0Ow7<|Z83ZUv{e0w@s)}*F(k4~*~rQgZ<%s2$; zO7P-)Z6)5_JDavQP}%G%MHT0E8*OjTs=vynz5nXdt42TjR0aQA;xa-fud2*=N>QFH zyu*BSXtv_SBBLfat^+hzf^8<8<$U<{D`4zWhqIv7fgbdy`!fyXv~-wasgc9-2mRYI zX57Vh1Bo?tyswuNfe2!-6%@?!2Ayqra{7xoLA&2vgO7^(lCYj9T^zOk* z56$++0`SlhmGo>SiY)I<{T`7=n4QGb8^xqNHw5jxV2MG}^^3*!$M zHMdv>fAEDrZO3#9b|{*YC))aOa%Pn5oqV&qi~9*SvVf`CX_;Jn^-*yigfy@3dIC?) z-pIYgqfg+Ezs{16S5r~~w8M**Jb8p6-(&wLqDVrz9#JoFuoTwlFIwf3poG0&%0qs{ zGw;pf_@H{09^$P;tPms(qZYZ`!f!2Pp3WE`XnllTJVhA$p-YU5Td~MBNHi(WEFqDj zK%JqK3%J4#MwB~u>Z@tZqscI-*P8Tw=FuYOM?MzxLdu008Z8k#R=q95D)qDGPsiv> zjI5I93s*g;ay8^laL7#JZ#ouFymXp`r<}Xq6^VbbW?cM1Y2&6`am`hzbI^c{? zZD8m`?2~-@5irYg|FZ}f?4t*Erk3t>=>T9_Z3^?le=tox#RO#7Iu>tc^T9@U0pt6# zG_lr?nGuvMcvIW+Lg*=AAQT$HtuAU$@5k~0QAT6O6dx!^?)@3FIq3@TiZmaagwTn1 ztjyvpEm4VnwMr_!`X?DFeQT?B#_hxOu)Lj0XOVtdl&Y$$>uxjdf)ENQF01f?S-3q6 zlp?=hf4OBP(@Or!O3JCY@H<=gW*ZN6JK~!pSx3P5mEl*&3kWeDGpgT7h}^04G!$$w zoeRmCuu_9cn!&mKAW-i$AA5>o8=zNMU40HRevp)JqT{i15~*ZnK6(6|NPtg~r1Pr; z4;s!0#|e!hwZU8MfVbXRS)_*Tk=;gc%y^v!=R#%LUMny&C=)H&Ht+_}-{tR^IkW!g6SXHMYv;q3n`xAM^E#EEn z+$Oz3;tG;O|CIDcv)sqphxMOAr2V0f&}Sl$rX!yA+1Q34iNBFo6cOgYvq0u}X^zuG z693F?dy*r+C8cPeG{cJ=jr`ZG%m3Rnk?4*<)AM)Zr%MkR(6 zGZS)lra*dV)7RJP8;OmKBLU{^_51Q`x+BQm2Y%adt|=pff{l%hOlWfM9L6ni=RZ&i zvBuiB{E1JBjm2&hEOjd(bt@}IQqr=oKXmHMO4V2MtZ~VL&@+FIP@M>D7dOq__Dt@xBmqb=N*~jmFsNJBOi1_aUnGMo_o(ZwQtFQyf8oT1g4>u4+V* z2)KnFwa|Gg4Q;E#>HhbNwLDLZV@0tHpT7%W6@Cze1&i^p_$hpbyBzxT1e__tF5zv$ z(;fWv!;$X|gJPwg%ci4!v+FBrnwoLMSb%>@0_4$(PQLqNqAluC?lo3Ni*MN`5YRKt z6v=lRhT1U4oK-Y{I^mp<vr##2z;uN`6s5 z>IWnU7Eu}ZJ_?aT^G)$Ui)l$*Z}zn8Ea<_5;}DPXt4&==5x;Xlg4X1kHg^yk*1o?{ zBo%Z|^>DMtl+w6=@2-RZ%9fYRSXY6c?qb#sN1j~Qla93qXaH{#nyBEfjsV{o?NbeC z7<8lyl>E1Ct4#%ILvR=`WxqtSH?FuHzX0MN=xW#QUAip&^;SHQ%|dIyJ-870d=sFp z&4ze`@guf&W=v6B>-3T-OiTv9YXD-+gUB6&ZyZv|!O3YhAENN}CR%LYH^KgEUVwRG z|L14to!YZ{FwU3a{%1h1Z=#C|D(Xk5R$qZLQJR+$aRoDq9qUjESfM@shn3-id zZx|}0)M{|1w>)KWhcjx9tTSYy(cGVpr?QE7rV=~`<`9{r_Q28~mK_l7z~f@w zIBsHCJtTU0?}g24JZFW&QkMfQGrqODy+gs@z3du8IQgFX87bEXE_*3zDmYJs7+fwC z(;vUc@%hoPRU(ob{JT=|s0p@{l@(9qQEFM%^C?d3y*I?yIpkQy2gtwuJ%62=(fu~u zv!I@o+sAsJ=r3AI2IORmonImsr==lXSEcF;z*js$A>y`OT4)POWNi3gq3)MYFV!W` zo^80)^vQ@=!|DhlIaH>$XA9G#2_Ba{K=a{HU!l0M`9=E&L!~*u8E$p6(yAc8K_}XR ze4bBce>{tcH}Mw*sgY~1fQzk5v0q14IJt<7ta7q5*>b91U_wG8{3=|MCQ$5BGFKF76OQ%h zE%+2M;sBRINREz1aRFvWg}SxhAY&z?<67E007GzIv7c{N4d&HnZCgVXm18%;C^1I^ zaQz<*!q0Sc``!|7El1;$_aRaF(Y5fkBd*x0yws>JU2^tm8l$>0f;*rU+{McjwJWqt zrP&Fp&0%>gV)w9%7oWnIp{DzLdXPMAPed1MUETVy$XEIz4`?H|T$sb+O=>dnolVRM z-9f3*e(p2x;0DpIU$kBGY*b9z)nJsDpwlDxYiEboZ{$gpP67A(m@J3F)Ounye=UkK zyhK3i)nyZ-B(v#hA?H8td!J5=plgSFu`uF2>oT3i#p0$NEjOP5UWXDsCdw4*REEc5 zW`)wVP=j{lDL?o^y8DihGRT=y><^4axnn4?t)hRTe)K4waS^x7u-RA)jqWy@Yr2*L zm!x69@-$SJi{JQCr`mF3sM4|{-ciVRX=Q#6R8_Y8*$}`q z;%{$DcDKT5wlJJxPSHQ6F)!_(f9HY6q%FAX~DNu)UR=|4Hmmt~e@NcMO-w_)2 zIO)=D5ZM3IVDbW@o%;2w{};RSubk%Vw^|5%Ih>B{()4KM#YkFJLnmESHAo8nw*dgr z8RJ%5IE0*e^`^}ac{ULdaE7fT^FjF(pfb08TvY#M>+y2PQqywNZ6%3mBB9O`c<5C9 zp-v9nj=Db;8S^ceI?sD^n1^gshjx=yHlJrul;}Xh6qTH4i1aN@w%4qp}bFVC2Sdga{{)Q4}k)t|TdZY?OyxGWs1luDT7&LQgU)I^T z=KfJ02WrZ{s*-VMW+Saqg8diQ*NE3AU!!&Pes@<;zO*VmmYd#+CtN0IkZd_T%pCx= z>8gI(QN_~)c$Hdv2mvj6aV#7Hs?#i2*Ol{g0=x^Oi}Uu!5AThR4n3v2AI+p*ds(dO zCayn}9$GB+F3`fV2{<9($idz*oD}Bw*Y2E-zg%1W7hk-%!@0M{54?ID9nfq58T1T4 z>GId`SlxVjT3Vn`2MZ1$L&HU&hqiWj$uV2ouJ%QPfQ$rsr4VUFXisE6N!ZmicU4o* zv2_O5zqT6G?f>j{#nk$7YHdKGLsd(hZ_4J2UJoxcU25=2IYwn}TY{o;W~94&%(zZz zDf-zkGL@)LebwO9{S5gD&qf}kM;c9YO(B7AE5is@e~E_b)O^+4YWwsFp;tVyXs|@kAYFtP4VH<`xsTfXN~-UPz>dv5^9TI? z4+%wDlLC-zG^w?CvYh1nkzPzZX)eWBeR&pdbyy|#?wr-}*Pe4&TTBXev5{nPjaw)W z>^SH)1gm`5wa6`Hz-~?xGh0>&8U*t6>6bJ}kM-^$1$5U@${HAlq7wIFJ`Rb7zTP2+ ze`B5fx3Lh{ZwxO8)MA0r*#QLv7zZsK&B*b`h|D+qZK4g)A-jg;yN@(7K}N-6 zm{=2nL;)Ak-`QvOoSCf_o+YN~F6<`zdzuSZPEcISWlp$xf6~!{2V7ITWLx1-(wMez z@zNGj7lq1!x{13jlvc~u@-X1uA^bV`N3MjQn;V_?zDu=fE()xy1l$+;`bMVL;laD) zFDEYH!1cz?dghhyykD8U_5}{kM(LZv()oFDQTp4D!k!0sT#SR=-)Q;k1S#>-Yyi0c zVhNBnuH{CY(k+|uy)2Wmy}mpHBSFnTmHdegAy5ZdKris`-;;FomTObnlS9@fpFPd_ zgAy?in`~tH%ZUi(kCP#}@Y&#l-R4H|*AKtHSzF(1KEJ2URpk@nrg}Z7QZX|7=B#?$ z@_^+0uq!ZZwT)V8vi<0M*9epn(EqP^Sum{8y_E3k?((L zvP#Wc^O30@qy8{t@vuLy2xRz1+kGsex31)0Pl9}#Q8erZk$aB+)XBPf!;v=Wiw-A_ zk`7Jcby)6xDytUC(wEnw_Qhq`vYr`Y$he3yLP%=nv<$TiJW9kW?W1tdlHA(*;ArK# z`msg;;Ay?7O(=E6KG?@)OI(>7Q^s|Hg@eLAWN)IfZI)bMz}&o0-IiIOQfFgZY)pdTqFF?^TV_|iI#}zX9`x&I;8bGjw zPo@|W+K-kwUa4vO)|HFi~SDaD#k1 zEd#7!JGj1Z=ln(X^#5LX@vThMWQ2zM=)x}FZ3*42$`n3~_ERUv-ZjVev$?5}qT=B4 z&uANmYD}nXLxUG`@ZL+J>q0^DIWrP>&9wC7HuaJ0A}brma%}<;MCrAc8BO<=_J`0i zxuc!MP)fejS8J8(9t;9DIu%pA4s6fRHsT3i^attNJpiiXz{j+51RwK6+8VjI;yx3W z1z|!@3Qq{<)3V2|L_VW-xb&1r9jynVqWZ!n2q0F+h8vH_MC~|*7>4kp`v}Kz9#YtW zD7oxS)BKI?uTRfbQ4p1J>EAya7_WGY4f3qS!hd{JE{j_Yq|7WNe1maG#v>go_3U9#!0 z(Y6pZ>&6N0MD&mVv~k~3g9RmmS7ep|11#sCuGfO{`V z&!sPlHlk0;L^K5F0BRqRux@@L-xTm`YGWGUVGvG1@+ay8a4|Wag{39bHnJ|&&mE&| zI3sMenTYS$4_-qM%+m3LTFn%o7(vH@??sJ|oXbT)4n_mS3eYiqnlYGbp+rA4@3OT1ezR<9v%pL(MpwzsnT@ zBnoAD@Rj~-HCLulD2#>qgp@+Y-U;kfeeAiJPd#IsUbh2?+LJ-aTwx*OFNQ7bsbPw# zK;oPKI>ab*Y`$vMABkyfY!N7{ZarKeYQkDN7Fm-|cDm>GDQ|Ln@-t`M5i3N?2@vjartpYra8q+O@M#MSNx-HolFa*<3yFvI)cQ$y*X0~A;fz+M8+ zhD@qjFW5MNEW_rT5lu4caUFE)%16VV$j5)3+lX7=uG41ZIw%SUJudgdES&!2_X|S( zOe5=c+WG+aiBW<0462IHpSJUGcfISG%L)6Wztrp#S3*kgHyca)r==@}SHqg8deMKt zDO4(xs&{Hh@Yhqk~}c`7E5;>by9Upe??`shNA$AINR@1&Q^iL_ zPQ8?ug2K`0&VDxAqo4~>;92PJRCi4e9wc90g)=oY-hX{bz)9BK-#r#3F`%|X>3@Qi z?Q>E`tbqIfSbNK$I@)$i7$>*~*Wkek?(XjH4k5VHfeXSuV)P-gT|O#pW=+p-Ygy z6G4d2@O$f(t)|GUN}dOL{=NlDe^ah`m5%NIv3vhHtnl#wj)wZ&S8WHkkB1;+zP{i| zJ2H#z@i&28-I&PmpHQ^|aO>!pE>Hp!I~Z^v*DUV1bM_$iZ^js|zgb%u+OQj3uSxLG zh+78rcYag`b`)>S%mfBf$^!aFww>o zA8v93MCf=v-dIx7%Am#X^;$P5fsI4$4+ilE-%#vqZLiMz=&ml!y2Td*v%!4jBBo5m zdEC&PDBZw_5beW2Ah+naIO^G{a#R@;8go+21Y zGHCTqjD*c|;1o`{S!s4F@xXus{jP=io&B z2$Mo7jugpSn?hYYAU)B>ke!v4Rah9hAC2ZG^n9fTuw-=_5w~|WV$7+%fy=DrIGmJa z`=Uq`9#{799I`%klYG)e9#asgp9v2i`r#~f`HWfi+2of0$K4|hCP2tm>}%I0=_pl? zDsQYpv4c=W(k@oH#rE@AA+Y&vyh{6AJddGj7d3J@9`6TZ;6&dRxn>^|JX*-2%2o5# z34ZVI*Er|xwVYCOb0^Sa4`3O%SBn)fAig2vG*1s^z#w!_Wb5vlkPpQA8*%~BqTW(~ zenVCkozDfrVq=b|;C^IbeO@|)TTcgTh<;-KC02c2k$N$hn?G7@Ns1+FwYRq3moxX$ zPd8f183)D@t1YJCjBrP4A}}M^L^+Vzf4=JxIinhk!pm$K%k{-?-?sh=Kid~ktN@)$ zsly*4{i(GkF|lGeSQ!DA*wBL(nSUXIkVcNocs1}a!=KlFX9)B&ZIRW~nxa9ck>3g3 zC^xK^{N@HxZyArKz>uK{nuJK4rgS}Kp|T`_6u|moiTQ8bVoD9uJQUZ{20oTdh*O-) z<|?*@0zt4$S4&MTJ}IdmN-_;xfQBI5CaNB!k3V9{pzj0`zTv4uLkUTj}PPU+OZs$-z%2@-Pb!^HKv#Zi2Nb(NKy zwbOQYBv=+As60BpG#{B;$s(r6{3mV)o)lsES(8&8r$>*VDr6IDrihda*9`SV>Iw6F zV;|9bOPd1QcG)~z;P7p!>r0{jpGIb0CoR;U>nE!%AQou>#Lt5Pr|G_|n5=+AED=qX z!?(WPt3sN=UflhIAi^C){bjhL{(*8DaHro{SeWY96k&6~JaVq*`SJGKkcRFSU1}NMQhPuJR5IGH>+-i4n?|174r}B{D)^D^oun%dZkj%!hsM(mM9sAk=d?ZQk7+;5FI_k19i$tdOPumhTlrjWry+2t z^k${e`3u|s4$tCE7!8qBbX0YX+$T2>eqYOHg5CoO?sI=}4xr!C?DxnCW)mK0cVhVk zr(4};;@g=D*Kd0bT3gOs69~{=>VT;)(lHSzDj%3rh5(obYr7$!foIzygo-Ly z9JO3AIxT@jXhB1^g5E-|AKBo145}9{Py|E}a=%~U;%c5BPThawu!KZ zCeW@d>q&%g`WtCN;rz>@scd^ZX#s2Q{S|irUK7SX8iKJ&Yuf&I2q`0XEmjYHJG!Si z_~Pzh?i%dn7Lej4Ce|&Jv7m@a2Ax*QsBRPtRnTk%_sdEj!aT%Y&Z1gcG6-;@fcYmd4R)55 zQCwBU%FX@52OkGV;`kHT%~y(gQE$(~qVE)Yr$JKH$F;KJ;&8@6a~BA3W-#kFP9zO{ zZsaAtZo5_GfO~4$KLqHBj?8=)xChJQT+&w9IewsrY0JDPKXZ-r!=}>Xa){mnI7|(H zYr-DGgK5H+hR#6h&tUHXpx+Gc7&Asx)YP(hswWnzs&V2J2Oj{k{fv}*=7{+uU$&Ff zXzhnXp;wWIeJ;PVMH++F$Mb$t;9`(QEnhyF(_x9`IEBM*4$K|L=IPGZy{6nOWP9|D z_?(XFoC0U5wA}~v@|l9qIpw|Os0J80L*@AFmRTdh=rAHND!?GO7YiSs9#fJFGni-P za$G|u3G!xSXRo2-$B-S_C`$GCdn8hz#uy7tJ&Yv#!ck5GDdNsT3MeUxxtT1hx2!T`4zqq0TR72r! zV6t;@0bJzdhK(gGgZ{qBn?N`NaK38S+v7i5FcT9K^Oe&;iwFcp@$na(8{D3XLJJ=n z3;*XI$wDhOH%)PI!8&jhiLzT;Te0L|d;aRgpjO0R01SO^uJkr-L91tCg&G=3oY#I3YCfaOTnwXTQrzaRF z$P!giF)X~uB;TsWC;c|Pl5C% zC}?Of)XWe+h_`F4D*cVK1!PQKUR*4=fBJL=GEHo@mg?+YUS19k4r*I1hTnb_Jy&Z1 zNy^zdIVyeM6pD(9W|rDL&nbowW{N~bML{W#ta_{+n9T@+n^Z1G;G=^>tIHm0-6rU$ zsYqv??CkCJ>pIcWpd0OtXBON%-L3;l%j%h>x^=~kjd*|W8-l=zYxTLUmMjH#_+Un? zt&#G;K&WQEJJ%5l32+}(R0NSYAt9s!-U-LKDBfYFmg@A(%mu+j9Cth<;yeK}l<02? z+ZgHTRg2V*0Q^X>$xnV+ZEY{0+|HA7E-j@pS9As_o1K7Fm;>U`+WeorZ98A4*5E-Q z?HbVNPrwSTxV!VDp`kg+3km5@X1Dr4N7+Y*i<<;4f`!G!bRi&vz&R=^YDEw9wiDuC zUvAq$6k=m32oRIuQ?{4)CG>11qdY;K+_Prdxhi~SE) zq1mIu&QRi2>CblcJW6WnY_@=AEoEhNyY`=H!o)aOSeK(*>k~O(olOhpCZZh}RW*>r zQBlEs>)acT1N!+X*qCN-cNW_G9%=Q!ac{W1JKutt1nZjQ(Qv;SdwYRU3G^gBzve4r@XBcW zF|n`?@EH?jo6`TvhP<;c0^Dx9(S$0~Yekr2%_OtIg`=yZgRm^ofVnCT(usFqME#(y z)xom4$y|;kw`|Qgd#I^-g z2G(Xu;^N}61E;Ml*ePI%-Yvr+^J-{#)QRAKFjv5`j84CE*aj~LN8+)(jLgp@S~E%7 zmnV?~tT)#PGZAmwWb;JH15W5{bF0eD75~mlbqG+GwlS%*v$Hfd+8OHHZLe^Zuq-{PGh~r#-0$eohMJn z(n9?A!%DZX+2KkV5s}LUYi-%tfi4nzM}MT*7DroFh2KlH z{jV*ZE>^l{AoMO`Afi4#E^dEke=-MQ2n>|nBQKz!#c5nD+M)0AN|OKEL*JC`J*^B3 zh&v~P5U@hy-auFAH+4zcux(^JJjgMFiYkqYV!YorVxxfE^is3fHHyM*&&n;mqniD9 zjaY1`c^*yAM|jkI-baiN3@;O!Q3rUn?%tM5yT}tlQ?baNi!IwV9>iJy{qf-5Wm9en ziqbr~V^D$YfvdMp6od_f`_W&dmc*ECXb3;b`h>oGXEKmw=sP#oa>PhsRX1;x+WYBY z1E3x6HABrlz#s6tLOgoh9LW1N9(r2V0lCj<@kaiKXuY!`|1~%RKO`UqJ~1~Mh|7FK z#7A>Bu>Y2^z^#gktiT(()%kI4^G#HGh+bX(dy*rNM%8NGxO6^Z@ciyo`eglo^^01M zhrRNCqI;D{qCFAyF%3XMd9uY4PW%h3W>k-;&_C#xzWHNGZ$sqYo+L9sCnO$H$Tzbe zQ0Ux(GjV<=-89x_w3I}?vwK+8nMd@=?ecBEg{x(hKnp$y$wR9a99=sn>x&Tmw|A-p zMLu$D+&wy`;rJc07DW4G8JJ!tcU17ozDM81iSzv=|LS|{8c8mKlXltR$!g`|b;IkQ%h_t>K>FOD05x zBr#fx_cgu7sw?tsxin>V5?iu7E%sC&XnWaM+1Su6uYqPmy2Hp1R!|rE=~8)Y0`k39 zd2aq)wZ-sVvitZ=ANx4J3rG`MGOPoUUJEU+stG*wiQzzNE~ij(yZ%`%QoI93@Jj+E>te1Gz_6KWBy%RW?iz+=8~T;*#gyAhQ{8^~(AEG4e>r=aHx?(n7Q z!pu6SBhf-H+&(w{F7?$=}6uq_lp*e9_Vj~H;!-l&uto#mUKkK_?R z3w;3S7*5jIUSPARgVXB7%xf2yrds^iy#5j-?mcBo?ENO_C>6e74{w77r|;D5W;tw9 zmHtZ&J9;5FjF+I4LAqt*?Iy%l=-QQ-dS`#mgPU^ z^v~N%J|l}L3!P_ymCZnpTZ0~FR$aZdaCTh1)zjNMV)u<$K zW(XaBbrOT>aKLQN0}nNIFd>tu8^P`S#Uix=ZJIaJyQ8U1&_66e;L7X_*v}3Q4mH)) zYu<-t8YP-l-FMjqJjD7sI!)f!wg3Pui7B?dJlOH_XoQXMTR^$6*)LRrN?=ovV`F2k z!u>DUb_5`JsSon%BfI})|jG{Wr>ad@@PEMTa{ z>@oQ+!u{h??LmeS%yo_8IoXg4=`i~rt2coUU*L-j^NPusnWO(m7Vl7EhUq^40$qUS z=ZJ_18=5*Hn-?*H=M~>ocR=FWS{jT z@!5kTNA|?`k_CKj;;7`7NUY?NoP|~gWksO zc;@)-w+XK7FA?G4Z<+L^QJ|gQV}{XU6-J8Ch*;avm%M@o_%08ZLz=TvhzIOy7JNv}Kf^_C^ zv!XRxBA_|Y1jOo=+DP^OsHZ!$P8|*XX)3>*oSY0&4UFpTb)-IWD3W{#z8G2C;S$kl zhkN986%A}ue5@;4aZf{wc+g4u=ed8kSP|Vlkz$r2Thl~j4cHZjF^wNpRaLGXC+=0G zDTRs~^jtScB)3~Qv&~XqrT2k>{aXKBtvL02V5P?2avaq=)J5oWY8dR`O6Zx40(E#x zE34DhmWC}Nm>c3`Qd=PxEV06m+e_oF!ftLHc0y`p!}mwrgDHL(Mn>xjshpEr-vp3o z;TM1^90cu%bQ0PpVEdJR;E(M~3kdwpE4{qAu{=hp8${KA5f>MqfDR>G!obGv@lOJ% zwOsj^$JvTcv8b+M!LzbXHRHZF%;+Ns`Ri#zYDZSn`-+;SZ)#S)@RR~dT%Cd5nr-_? z&10Z$FVTW3^tV-NS5Dit%4b(bUScJSAbxA)o#Z;tA};{k>ur6j{qZ?plEbMEXL8up zrjyp^yRSdz_|Lrqm(rZ97r1W#A~Eh>F(!4f1xk!!?4 z{`?v`wJNHrqVUSAU2yiG15#;81N;yv5CTg#*v{DgEdOK0sh9&U1LAQ>4zS>BHDZjd zqryeVz3*8j(hrfD#Q93~wSc#x_d68O@%3ois+ z$Khmn3fdbwdOJF!LbuoV5)tpaujpo^%_1G(e$JqSdJbsT<9d#*vnwkjJM#UHrXV+{ z^uDwG-GBNE_}gLkNiFcFlUn)Ti{s<54kvTqK51%xPfEh|lQR8VB%%iRsq`E8@W-lk zLnOh;hZx5m#+_ zuvj4@Sw3e*=3@e=&vA0g%llc+IC*p{>sg=}h}!QIL9@Q`C3l+UiX!6aawMFFOTPqx z%1;k5OAD?e83I1M{up)VPv*gP>^=ScH6jEIG|BrVMRi;Y=ut*XxNtvD$AS|kk}R5f zNN0ZDJhC6}nUXX$H&+*A==yva)&|wh{(k7ak4uCr9_oi;KcQ#*H!ZQM_a83+ARl-P z&OP}RA3m4@_{cWYyd=ibubnL#n=~bF!rq^ex z2pv+RW%JvW@aOmklS#Mm5A<1{0e9g3ZRWwN^}BM(8MLCn!<@(c=m#in>*B(mny7>Z za>gV%Q&SlU{*sdRC&-J%K9mBPP3zU}r)#6Z8b`|w(Phmek%q=Oe|elk%@X_5kE+Cs z2F(d&?VTA4>enE8BRplalyC=(KBjRyQTQoaSnPl^H$m2--{^RuCkPbD=gj}Aad2~U zW4}KP8j^#A7D$94?H0p-OBzq}9C)@l7#SJyX0S#6+0M!$TgnIE_ywf)A&O&wkGK}| zV5`wc_&x70EWBN-SIoLC_4W1lohzy8aUd zD%W3SWsHki-HkVJw?-q-b#Qd!VDPX>5(A{#pb^M;S$Au;q^1Cm^yv{CoHp2+kKEl~cZXr<#@19b8~Y?KS0{UO|5@^@j_o;u-^M`FV!&u>UFx>+Lh1hsgu)9(X$wS z7IXg8IJmeqR*;0Bx&&kY$XpDTwMo>^j&-~RQg+2@%#*)oW{y9jD{$&Z1yCH~P{mf7#AL=gvd5NS) zL@?B0ds>_x^QA>m>Fa}z)&Fl>|3d3sxC&DE9L~3+Oe4ywwtUX%u1=5M&Ag=Flra9z zlUTLZlA_VQ@A){^U7Y|>`mCL|KY}PrZU5q9tJmd&Gt4(TO|L^PTG@fc?*2@NANWZ` zy-4nOQLfpCYRD6T|19SJ3f%td$^8%i`r0nQO$G@80fBz?>Lw%#3ts*AR}yg!G$x@j z!;LWnE~3Rpa~D@<3loRGSB|E(h+sH7>2nt}3py(s3kxE%w1th8wJRAr=ZB>BE@;}M z(%+CMFx*_MWJ%<&(6s2soscLH5Xewjx6H={JfC42Fmj;tA)!9={=F!GWZi{K(79Lq zzdhvx84KCp=kfC+GRs?hv~sm3LG+=^-a8oP=-dt2hU+w7t=BrTMu)wO`Z$vmWgB}It|@9SJsO?-cO?MFiD2f=v^ z(j2d=9FRJhEu_7zdRgV<^{&itrkH!KJ5n%jtf&}CpySe&?PRI&D8>oNCwt37FGRYt)df&qHLl zriCNV=nlMZG#3#*>{GJr6$hapc6AIEH~0T|MN%=NiqRf0Q!{MBJL&qoH%eiSV8t~r z>$_AAJx0bN{qqZ%*!UG%l;0`|KoIaSqR<#$XPW8qz4SUD`(25>crqGi1)Clu_ZRVQ zT*k;0yP2uAnWi?gYr}fZ+=0~1g>5m$7XP4`X@8G)V`NTqlVunZ*?sC(&7JIE4O`=9 zDCV9%YgjtFQDsiSu#5QWu#!hHGhA&wxetdJw#j-;-f8P9CGMO!x}kPuKroS1h&$C< zR2^m+H`mD(1QT8c{i3!Tx|bd6V@W(wv2O=%zoOtL*>gi&sK`e7m4juX+YcQ^74D1t zL`2;3E}ft1l|C0=l)skt$t&wo<&W6056SwY6nDQ-n}sbCT`CX#IVV=nP|6@du8U&q zsCBh@PWcTsf$9t%9To${k1KdKg!&DsMjcI|J=v4R9~v19@Snpuw ztT2Z~&A*s(M7>wo*wRyU?ortx~nS^n6NO{t-2hRp$;43Q`7 zKK89tp1~KwNrKfu^DP7t5+4>45+M{-UUOm%%9)WfjI2UdPCU*))G6uP%_w2BJ&)v| zs>_z26a%Paz@90zu?2jk^Ldr?WmP4_0;tdWYVpQ-8(JE#MK>7|I~l^6j4uldDvx_$ zt$T8)YwBKLyj>9TLw+rUrlH}-V4+pW?0R9T_iAQ*pR=oKs3vX%a*C=@gi*|GVO?+T zD$qYbeIFaU@f{ff1K;v}^@{RjQb^>waGz5XYp8^AfPENOSJ)KD7i;`1b9ZRKNVbua02N=;!VcZBFm{9{W=2Re z(b8U30#qeNRt>gvX66w>=Zb4U^qmsFtY*d+w71iPq)rx$HE`o4PQlfHQg>Q=4Z#cX`6U1Q2tcWPTM7RH6q!GZJ*|C-P7)X(F@9Nto9G`Rx_g1| z93EW2dGpEL74pIJS?zrNO+X@!v8BZyWEMzW?M3n@nuiuV>la-A_4b@ZKH;RDbpKL_ z??V1B&v~z7EbKAqk^R8Pt3GSZkNV>3V&vy_##cQ$YB*hh z>K|>drq4kBV%93JL6jN;pUS+YiC(wg%}#?U1lVByKk;uv6`xC zX!vUAd@*so&k*C(UHzhtyp_#lSZM09Skp|Ko7L> z_dBjlOL&&y7x>o0gqKK9FUXPnS4rTi)BXl+0MQukh0wVg^2ewMQ`GB3yHx!h{5A}W z-ZOMtfBiF>Zvkh`s?dgy{X{!?f6MWs%Ol#g58ERkj0$lngjv&O<@^BeoB8z;_~RRW zWPkAHNowb`D1BA<^^+%Q(y-75@F=hU3ca{Cy80UQTF0t}E7gDNaX6mpZM4^ZmKjZn zzK{ArLfZf;`2yar9PN*9DGt>qGyXKpv;zJa**uFYUAL#*3nW<1uzG5Cq-E z4rWGiyN2eG+p~v0dhhJ5eFA0sYi=V<(*7Koz;_KHk4?D#_LvM7q3{%y1l(fZooc~5 zjxx{1IBcvsCp4il@zW07|A_twJ#~WeW#R@OF}giT+NJ71mB)d{9ZmeD+Dj_nc;hI_=wq0CwwOgI^|( z>|^$hM+l=UTq4NT_Kl{rxHvle@0^R486vdn6@v)Sb=m3LM{37q5Uk+8s_YuoG&2o- zo!4Mu9php8TpY_b8TtTRV#wRv_y@Dc2X!SIp?Wga+zS`@G7^yLBT`|yx;jiF|B(8Y zy2};y^qpIEBgOuNTXGZE2{j!D#nH_UMGpra>4x?Dd1Ni#JS8Lm!xezy9?e3sh3dt# z;cf+w(IG?unUo_p(ydpU6I$o}aeT>>t>7S6RtA!ON>^RD*tgG?ze@L2&q8Ww47U`u zyBTeZ+3CXG8rnFIMrG4rXdQ;C>}gWVh&qmke@yo+L4L8%K0x=DlE5GGTjEO6R8q zcvq+Ab|esA00s)`FDXNihspyVd zo*apq_h-_2BYB-Ltq#>}s!Z_oE)mhJ-LHeyjax%Jg+fEXFSV&jdz12fc<7HL3H77DuTIDj=^_M zh@!c0SRmmo*R!9=2eo!Qj%tF*E6ZF7lf69d>LB7SqWrNZ*Qd@Pvk}@0tEpLo4{IrX zHfN6QGIEyOAgl7%wp(MhBC%n(d$m!pcP92>_=V(WTlX8F*Z z!?7og_Ow51@C*NlUmw%7L_`Qg#Vv6S#P8a>CRs(j;Uj{O#RSZvRK&;TTabk&47BUl~Hev7%5ntCtlzB zcC*YUrBbZs=v~x0`(}~doVVLthY-P#zF*{rH?zl3rc0qHr^n<4J?O2U#OYRHpi#a3 zzy7X8KyX8Xa*!gZPWL>KL2|4^{k>nJc%T$aX_OGc|j?CcveF3y|5-aN4!rLN1A16>q+{z}N#)_g2uEk-oUGe_%2>=d-5;fQlz zI4?$~o4+-Vzvd*aa^&G8*8IE5j-TcJg}hj-DlR`QsJ<%h4kNbZEN<#cAi- zf2rq;k)aFwAZUE;svC@(=w?5`U=F!(qO|ngg`oKm>QW95q9u~gW?gXeU@WLt3I7j5 zzco)Z(l;3P%La*e9I?bjn3{_pZ#4GICp3i;Of01P_IaYLt0=C=#{0ftMp&|R^4}zN zY1#BrQG@dx zDwdREW1)AICPazEBc8X{G&?BgCF+1?JF7-}qqVF>agi3Ah27K1xQl*wB)`4U?N3*W z#@*vDP2|r1GGfEhfuvKOTf9gX;;r9{(zAAdK3kq~;p@@-_HtS|4j2x-j5A_8=T*mJ z>Q}bgZu<NJs;+CMb#)8q6H^S>ZP5JarQV z!<8OWJ4xsvZ~DP`c?h-mxBXtn?eA+5LU1nKZ5|H1zZK;+n=vx*CON z@3GsW>h0fN;w zHcC#|hpq@C+}_8NBGg_&H#YQN{?tghM#M!44N;Pszk5)|iQ-WhUin`r@SV-F6@9{V zzof{$C7vRi*>-O}cvz`CR0tUEar!Py!*)vfE6Vs9kJABd&Kh8AEZBQPIvPQtJK3J| z?rdAuNDxjfhs=K>_Jf3xZ0#5{Mnt0g-8RPHHj`ijZ-N-kM43v;c1EPwB*QPdt0J+=LLbr<&kkt zpX3Qjsf>xVG93UT?@7qi#u}-)+t)&nd0a@Gf97JRtf+k{cJiJqEDYa(R}c50L+*w+ zoAdtg>tG=TnnIjRUb=yG&TO!^qo=%4(;Z21mY$}zM~ky{*BI_@pjoErfL)!E#raAC z^}}_QS>I=&9Ai&5_g9#T@`AQyLNlI*bPr0|s^J-3DK5 z5%i!6LgO#{W>wKtZ0EgoQG5y7bClr^7GsG#$>muzQOQ}@H3ANn+ZiHVgPL+yE#4?U zTksR)iQst9FFisS-R0RyfA-h9q#t~zpvz)3$PUo;LC~Fv^|$Xx+>!Z8GlzuWp1oRn zrq3m%>^lmKvGRS(rLZDTh83XZZQ17>E0I^^m$OBV$J|7TI*hgVbL(=AfAV|O)~WFx zn>GAdM-+({Skwg_?K?^KS^VIm*!9;e7?Xo?#N#Qg5oe`OQpK!5>NkZ7h0Na_j%|uP z7}9FtrtjS3x$w@z9xXV$9eNAVtHul!epJs6`S4!=gNuanxFG6q{7{we;C+JcwA( z7xJn(Q|tCnL{*9MtSPJQuv56U`sfRm4Xk=J>ovy-DOB8{mXD(V>Tlh)&B%MD`ph#& z*yw;qdCP?Mm?-Taf80w)Gxz@bT4kaYJb96A0Fl*q$ebe1drg`FMrr&fj8ei$zeE4S zO0O`idsvPLN1mq6SfKZJNpy|aVoeU4$-IX3rCXxd8$Xw~;cIarbl4HDwYe(XCtM+D zAD%aQ_6-dGBqrbWsFJ*Pdzc;slrKTOcKs9~cAo6>{Pn9kxP<3J))(_sbb9fIVo@I7 z4j{GtDg4dX+u|Ti4c+Z0;+kqOrPwKt$wwUV^xT;=9WWpH^Q~^C*MM!4ngmic58{{+ zKUc89TbxZr`%Q%vwpg!_KNrv0X302g4A zY2m1wzr9r0!q~@Hd|gT%TGKykI*ws{|KT$mLRylZ&7YL6>Dv+!BS)B^m@?7!z$rlR zR4MxG6s7f!eD9Mn#FmBb4;#!#TwMg}--A?@RBL0U1TLtv@bwoZKXi`k>k-VkxtvYa z#Wa+lxIqC9-H=sc<__i&_yiwr_hG-f%j0im7DvRcVO5=;9(cMWjrW(3jW9!b32ogK z^bb%-Spf)_$l(B4#e{p+Uq2CQOb?eyh;9H7e{y-Fa~PCaXny z%*a4mJ$cRWMi9yu*rdVUwObK-7ok3y*l4W&;;Dud+apc%qLS0VY4hp05AD)JgkTk8 z&YPHLPqhg1I8ui3pBKCCZ`||}{CkZC?-CWNa_|L966WhJEY0}(cRKQE2seR-c+A;0 zNX|t+L+Q8FrXz}cpJO84l=t{i^sfp-)m07;8Mrr6uJ6mx3`RFRf8Y!Y`3RHkO3_E8 zfs>!z(|Nt$i}HScPF7_`zw8==In|dUnP|LvUyzQyz!Yy%Pp7$g6=TATg!|UWab~Q) z9CJ4uUcu<*RF<&K;eM};VbKtvK^K^DOMAZ zL_nz^wZRSXyHliyxOk|&XZnR1n>47wGC3GCWbXO6uRjl&-@tr*ryOgpaH!l?!Qha? zPuFrYny}1;EY1R(m>Gg+DCDD-Uhp|g9tJI6%=_CXs%)O@qShJXqwvCzFxH1_h)BwlkfR%pCPz-~`Tz!b;H5IYA%+-IEcCwsBt(*atHTK5#5PqEkZ9uCLk z&Tn*-Sv)9B<;T0>9yXawi_#8A)@fS_oIH%-kx|HYde$1v3B!+kdt@@{^DO<8gr*;E zvX#nIeh+Q=4xb%gZh02}ajxl@72N0-U#3WV=OQP;{4p5T{xleV5_tLU3kiKQFNprO zAO)GN$rqKroC#;jnQCn^{eVoBN$?8IKLELg6Z1pi%foRi`J~1lHE&T83^X*Hx>F{z zeXX$Rr3}Z#`ht?9lVOkFnisi~8j4J@?B|xTC?$bWo||qfbW8qToNy?`zI&HK*OTebMSa-ShX@PRx!!9aN zuM~x&(L7Vb-#uXax~7Ucpa%PMN-$)Px;ewZ3u@VU0}p;ds5PbU%VcC2^c;Sp;BT>{ zIn4Cker?lU`n@_TxH>x|F>bNveEshmp?}yV4_J?TrN)D?ylqZB8~I(jJ*E6)4jQi= z%tEe5@FFUx>VdO}2ZeBV*mkuIeH&Y z`)ut6AtO&vlr$XHFY2%|6y#k@;JAV^jz!l#)Bcu$CL3VP{2JYaW)U9Y`b&a}c1a0g zzfZD89x15}D&(Hrb!Pm;^x(^|y4z(LDI;8gTsB~40zbA%O&|vE5ueDHg*TJTU@-6Q zP!ZKJecXQcyFH!nh2N<4ynJ`dPGmYr^MU@RN~;^o!d0wqdr7-lQWP$4H!v_Fuv_P6 z4MLgZ7!2?W;iuY-s?1v@U%Kg^gFj>hQPNEc5oHF9_}8F2sS%=5d6(z-A!Ca%KJ@W6 z>hIeJpi>gQ4FSj75r`*7g%X~pM*?|uY6Ua0(df1=XX57G}HLJe;jFcQg z+JT?AzSu0_BV4XNcj|gbb4Od*!SmUD=R0#Sq>TqqqQ!Sd1fQC+X=-XTgdLT+N~4pG zJWPLXbG6WS$7-^PfT+#$e@;S7rz$6&i<6)xS9|X9GswQ4 zt73)c1nNJiKMFk2J7j(}G%q$$@l%uW)4oIHD*p6*5#bb~|FtE=kr3@AG{R4!Z?=~&Xm z14kuw{lf4$Y$Ou$(zEa6SVDo4?W=m$92In6o#Ne3#Z&l$I0N zhg*|=CEBT~ht?T*obT1e`&ZJ?liIQPG=PKOd#`T+pa&K*dz`6oty>t@#dyPdfm=e- zCEb=h{wcoR3iEDDIXLNDNylEEo=0FZh>}}({&>Xd+NgLXP;IZWkLTpk1^qi(!O*&l zI)VDrgS$SHM%Zq^e2;H_uMUD}o6e8|q4$-WiH@AG)sr$9@H zYFvmREmKLYi)m(ayr31fo2QKIW2)3%-mjgh)(`XVwwVHWKTXUi&GUAYS46|?A#naC z^cIPkE+8o=LYu9P|I7~k+mJxsM8JA<|AA>e531xu>CCz0nqTRSVQ+h&&baTLpv%l1*X*WltIt#XMOFDg@Q8(B(#F={!xyemD9{ zXAF7(pS@}MWJ6pP(rK?q5P9|VXPlW+aJX5gdixMJ_(rp!!gs*5VMcIvu8t97pjHyoR5)N#hv(G6oXn#goWf z*r2Jt!^$Ly&!zUR(ZG8g2ooN2WO%A#!Xf4r(9wl<&{R+o%J)%o?)qb|+Ud>2(D&=s zF|B+h<#pIwhv`d1yil0QCBr>C_^wknTsJ;q&OWY2NHHFfhvTNmcpd*Rlz|L!hiJy+!Q(Q81*uT4@~E>V)>5hzz!&{O)(Rz@VzfIG%#9 zDwGj*f!SMr+m=fb%D7UXh{}F0RKRMx9thBQR92Jxvo7#@nK(d*={<<$$0Ul4KHHg0 zP4%HMUw!h~PpR=&QX6-8cxie`OsfPfS6xNs$M@})lRs;ccT}qw1~*0SmDL1_XsIUh zOwEGnj0U@|-MW4q^n|{RTRj{jvDt<(^y;isH(#Sw;1=EA=_k|$c!$H;H6_}cEl}@5uHTS679G zVnL3~=~u?z*`MZorf9O0moC#fKjd7L42?1}fzobL^B{$RUE%gs-`kK;Ye@w+bL2K1S$9AD$@UT=}ZKm_Kt%97?Rl3)eps9>!AMnxUg zyK;xATtJr`fx{_)z)N+x&r18jUMDH6Pd&lCycWiU^=6aWWO9e1$jo)`6JbFI)WP9~ z&K9#SvxJ!iA(q{*RRq5KwvUkv8R?gAnb;kma1dlfAAsp-UGW4nY|FqYp)1U)cW$aZ zl064Qht(EhQ>Sk?H43?_-H#>|bsJZfqEH`d8|=Ib)KXbH2IU02^0s4jQ^zV=%(} zjUiY|Q%8Z<--ek+OpSgSYzx1MKF*lLW~&K?287Q1;36)6Uw3i-rh=Nf3Dedsh*63+ z0_;ZpkZZF#21V1InJNVl6^{a=N<4Z3ZHe9Y7EU>)%}e9(QMkb1B?9Tgfk@4VQyZGN zQ=sK{Y@umKDA_&ivDC=?k;hWGmAO8KjVP1!I5_kjPN9MbNtH5(je8T>x+3Y=NN)L&BQsLynyBR?(qDEzKvV#Kv5~;pmeIt$1r2%PpSD2SDkMpk%#JCYWkRt=ql>T3`G#|V|#IWS%(g|~w9XSMK z$Vn_fH9H8}AFU^#B%g$ikTNKiqe~GTJK@Y@ct32}6vEb47cZ8{R6qryTt}i<;&^fl zB!;4Ys(UKTUm&46oSMjwoJDlqSCpK6=AL@atS+k-qQ`!LRCDsVFc+dN?PL?VS_ zCj`OcFN=n{&=#VqkksH6FN^+v zWl6TAaOH49j`~Z+0@t#uH9?oEJ!gg%*3dAW%@0Cots#YkW6Bxxv`RJh=G^5B{PL>& z#~44(EBSH4lW%1eM#SO}gV~=6tOBq;n&%~{E)8EHY`>5d?JfarVPA?N=Hn`NqcQVE;l_C8RO~udYg6V zMM#(~8A)cLk4LtjQPREt8%N5owjMeM$qlTLGr=+-+OEk&U5~TMSl^X=B|PILcI+Mp zObk}Bdm}echAPkmp{|wXS0r;DlomMf5QlR$exR_u5cNE{;}RaOp`aY?M>*Ahw+(7i z38`bj(>X8|Q$J%E>&?X+l23lbenGS?#KmQyE%R;s2el1@9Nlc`L3|p1yl%u8se#F^=?y*|Vk#1ra%`7|{1SCsYj{33 zO@nWtxp+%2=_y;bau>lFEMzHv;}hE%y(LP4S!u}?8L_dz>EmeQ_D+0yWlZ-CMLM!H zYFBEfmohw~h%L9T7+o=sX0IO%`XbUKv20{Qa6cnER`o*VN+C}PH@^f1&7xmowILxai=vG8c#53z349}@J9VkWtC$*c;8 zc+AKCxI&d4wg=(xW3w)QqrDrRzx|kkPV(@gRpLTm4I*|3Dw~LCcKJ?`XKCg9YX9>V z`^O+4@NsoApI9kv>7J6C?rnoEhi>PuB}u^0GyA>UidS!u+=$K;2V;7NzWtdLLN zs};I9mZFqlPU#!ph86`Maw+bKYaRlxyYMU8jiKN{Z?ejLkA8ys@LUrg{`P!&}N6%UT)(nzMNIkgyD0PmW z#(W`{8>$r2!msgvIj;9}!vQ?SPy4h$-k&|ba59r>yj}fscQ{YrA?Zf?NJ$>tSQ-SF z=>uQ%9mpEku;!Ic$+VWH-G6uOe1V`)QKf|1_P%CN$m^hBZ@^CG&>r!w%=|J>;Cfb| zg8CG!AX_Z_d#@gNKbUkd0#|#{-9$B1=HF(p1&3VI?P+U&2qOE4!6%M67@b4gK2t-J&p6qD!j6H^dvuC73`9d0e6Ks*T9H4;F=# zgqcV8CO*f~^@$&Im)QF3^^m->V=}`gDnxUjy&hK!u!k*Fy6;dt?Phc$KUCaYy)7Y9 z?0k1zcw#GmpUR$cyZ|KQ5X93Lg|A-L#_&~d=*5Z#MVW7jTN1%P6aF?OZTrB_6g?tB zEmUQIrEP>WG{aJDx_gJe3u7Dju^EOO^#Ek?WXe58e9TFCl6k$XCI@oG#-uL9_vYWF zjmBM)>gh0JYHPW4gMISbfS}EcDyMD=_}odQc=cO`Swm_%`>7-x^|!rv3SJ%M623i1mEa1m9BSr~ltZi$jF zHx7J%k7e*Qn8c%PQtTnIb<)*V(q`Ce}Vqs zMAlt`-e1&X;^P}h{seaF{7drMio`Rx{FX_7Nm46J&a#RB6y-XG_%i3a=!k01h(fu3 zVt61AnhnkkWM1!Xkg`f07)B>8B4KGbnN}b4P#y>2x@BV5WD8pc zHeMkKLno{>dNT`u7&@jb9Fs&7V+fO8`)>Zws}~*z^^?Mqq?^>Dm9U-9{R(K`S{v1W z9gggnmT#(~(PO^eIm5*>Nl73GC4@aT4HcUZ%m!fBh?>LKLU^k(R3UFz40QP2;J=t% zm(wHM>@P}W={9p*jc3|*sCQzhqU1{NLoFd?&%2!a&ScL*`#1sqyl$QwO#vY-BMbYQFrw-k#zLDF1WY}AzuHi)b(`A9Q#u&=o0;%hU7K_so>zi> zSjOJO#hyB@fPA>(qP;8gQpdr6)pKCNv_-j}Oaiw^I+KW~8an#D$!EE!oezscZGj>n zxVHZC@sijP?D&`=C3PPpnMoDvRuw!s=$fk^>HcYka{a>FemFOq(=4iu!JJug4&L)4x7dhr&S`n(2d+#l1kHOsN7)gCh#dDnrVhi^cf(Yg zNik|6shMswe^0;Jt24KQ4HpF}>5<7@5}cY@aCu-j;ehq<$-wLNNU@R&C~sh%s9Pv$ zi1B}P>)AC}b$%e76eg{Il*XVw8toq`B`FG&W)99W&Q!-9*7F#>vkqlHai5H^^sDMm zrAx76BRWBdDIH`dWfO^*9P%r+cvjatuuY`=0o8mk z#TqH(ly6>E^6LYjUKcErdOVQPRg=;K*TyCs3rrGK z1F{9n<2Btx01nrQU&aHYLUIv}$Fh>{N0JR%qpFcuo6yK`9|!B@h;w03f7PWn^0`lK zpF}^l@BD-IN%LXlR5D(j%J^^uO@xkTnb>HNBUc^Yr(Em$2g2S@h?(VBCp_^*QLB5O z3(w2)vt~bVq(zN?O4aXs1!c?(3+=h1%6=c*o;bJhU8Rw-N01Wg%7qsft7*yKVw-uH zQqE37`Z(&lRmFpjq+8_Opo>tPD@&pqS0byxv6k4(XH>$zw-v}z{PDW- zQ(YS>R&EiGJ7rpNiB-2ejhxOvOBQFeXKaKjr#ZvV{3Oh~MF+eVBswX&{6w&{)PFK+4J z8;-pyX#7(0bWdSg{G@bk3uJ8wzD4cyag^|4P_0F|5nH@QDs$uoTMih=7oD-Io$zH4 zUn<<|#{KLf>Viz_&G}9=LQ+WfX6JB#!KWa+s*p~9k=zg#!V+`=@YQS`EEXH4)|+z- zR0amWu%FD~OpA3v-F@f+Qm7$$U+Tu7#NV{?Qf?o+C=o_4_LfJ!M z3ZbEqpzmAckzrW6+)YA#vn|21e=rU|hHj#2U+i!0xmd}jWSgITt+c46cRJcN|GkJQs1OeE5*9#4=j>zx@QE41#=PM5Q)YvL5+-KQEP`65XR01os9~Zr{N$d8VMRV!^WGDanP3 zj>U!2N*-qZ!V5@Tl&|UnYOfwB=i0A-iiJM+V*Zhh6)4?zd0Eor3`q|gmM+ZJ%4}Oh zK^(-9UaQc*)&ff$ST0e$C24ALA>Ed)G zZ8Bg#INnYVFtt-j-H}M+dX#~mynR8R?=sX;cs^E5V_=#Kuq|Uvy)afa-On+95R;W4 zBDf#^j%QI~RXJ$TH=1o3l}>c-FOau>3fJ=ql+oTfdD`%kyI44KL@6;SS@HY)Bpw`+ z|FvQw|FdLUcVZi;UH4~AaV>s)t@tu|dwoOH6&thk%bHYHKVfC{rMj|lcn`WC zMlUkm$2H?s@mt>%amm!@rtnUG4Js6|WN{oOvYl#W94exBBt*eK;4NI*U+k)Ede{cg zeOp+Ib~#sDOlBwOieScWDftK!%NxAQ-7lM-4>)FGq~N3$sR2)EN9?A@J|^-x4cArS zB>m`D%EETAuY8+dQd^7r%i>2C7eCV1PAJ_8g6y$~C#vLm>9LZyD1o6Xayhvdt$KKF z8vih*D|L?cj^!qHGSsXXSZLAN!5Q;sb=nB}kpBa_A!MeP!VLr_6)_+&IX5v1FHB`_ zXLM*XATcvBG&Yq{1r-D|Gc!4tju!+hf9ukQ3*+wY3>Mto9fG^-0D}Y{+?|9#fMCIb zyA#~q-5r8kkl@Z?tu0ym`~RwQiYl1vX}PgpuG z%Ie|a!2+~*VQ~aoiO@3vJZxO8e*x+s7ZBJTWC8fAWq>l!9`x_lSdeG{n$|Wh|1xMe zTDp1w!63lfft`&x$id|;#LdA11O~jR12p6m0V+-)hkrRM{>y<0@bAk3u(PoLH{HLZ z|4L-z@OLoK+}zRL3FzQu<6s4_w6OyLRAdxcTs>Wx06+(ezZ`*fE{<>Ue?WJjjUCYJ z&EfCTfdCnCH30Cf!+-bZVh*-(a&=*Gv9bHBN7ld6yj`=jgN3A{y*fb(ZM@WCptU#^+ZZCyY?HFYFFMfOeU>i?>0o&X7u>;ut`uXoCqqhmO zaCETq`s4ohBWBgp*3nngWc+u_|20ZTIC=tnn7KIt%pBb80Csjxe|`WjHygn3fAgpU zZT?*c+n-oD2TMl)|G&z8yQlw@?Edc=p!@fN&;$NAm$KvAFn6^0Ys)yec>q8#80dw>_BKi! z+}r>k_P2Gk0D1mB#Q;_o2S?Yp5Wrh=egI2HFw$R7l!qI@e=7dh(Z7fXz$)>Fcmb@E ze~1sjD)oo>0j$#hC0;fFtIQwb1hC5eAua%`{2zK#Q2ax03QB+IO+on&y(y^tm-yZk zRR0hMfK~kuz3FNEp*KCv{}TV30`L#LDVY7CHwE+m(qFgFYVi+b2e5+vfp3zQ|G+m* ztAF5|sP#Yaf9+On{(*0@cK^UPUHd=C{-*2j4}8;g{4a97`M!OD{KNcKhtogsO&I(S zd=qy02fp35>wocW`&eDA!Jt3c-lmGx)x+^00dLpk_78k3-2ET;R*c6Va%e{7CdYkBm2 zGWn`LwcCQUIEmLkk#{U!(?^O(!mKH_=lksJs~uzu-2~YoPm|^B#)qbwgMH`GE9+U) zSF$o1e?GsfwpYocfc#W4&HP0x$}p&KmL}Wm`y2r!oGUXa0t42$C;II5%?wuN#4EY{ zS4JeiUtc)$ee{2FMBmSOua#+XxO5Uy6Y3L?LSJKzPQCMyI7pJmU+^g^h>;JEEU+Xs zo^KFoLkBu@Ra0~<36+dYY>p5dngmIfpl?rwf5(`sZP7>!9olJRwi94w)$kThKeL zf3LuLwH1LRF8(qFrSM_2dPBa$8|}46Ipf$oM0D9$XzyMO1?uO5v*xZ_=%Pi50ca{Z z^@D(Xmjld*!sBNu4vm~DgM{P0?-FKMqN8O>kim|jKX@yPfWW+@h#Xp?#*bE4g}17t z0^_w)#nYdV4=pMsEaH5pTve|%CxuPB`WQAtUiv41b+)QQjSj9?j^yzG!? z!Q)w|m?afT)+AIK`bAkM5(*}uBP>%69>C2=LfHzTN)u_&|Il?~oikL&n5^~UQTh6N z8|kT*I(DaLa?5a2N0~)3pH6$)@JxAck0h(DyO9$U4R%&s^uo>r!=ed>zQgP%=2(v@zZ_Ag^aUo-~VR&eZB{Ni1)6V0My(NdIJkTZ)ByIDG~O=;>zsCDW5y|DWFX{7eP=J<}GAFE@7hJxh`?ZcHJ z!u=gm5{`CHP;Rma*$fGvEfrDHz%YyRFo~@}D!s4%2+M0@C(&u;o#>Fze}a&qbi=gE zd*ngix-6?hP@h=y&2_$oNF@(E6BhA7h73Qb_tP1o7lk&DW9Pv1E=_l&K2bZJW5-iW zQj)G-^4CPE1B7|?FZ_zrS7VJBkG(-*1lsThDZ_|FaQ1b~?F<{+ojaHy9Ow59Z! zE0ek^Qf76Q6_T9lDp5{ze;Vtv{WaZg^Uvp1j%(O)zm`$l2a)&#)86rN<%R6SMjftB zcl5zC2{$v2lxWM~o9}V^&Zm5MmV8v=*fAaD23IJ?Tngk8?9}89e?I&yZy)pj`C^`h zwV-5*SoAXjo5GUcL`3zd(hor;$K&NBKoDLf1~T76VYRQTdMky_6MKu_&=7#e3si3RjX>X=1HoQ8OB5*rnpA8Q8|fAup4B>ig!?5^*x%RXbZ zSfsG$jR~*@JpimIm~aM=+E)F(WTUB#GoHiqb87nl+7s2j*v%iyR@BvRq4Gjd2$DlV zdO0(0?v?&VotCdn3=lttg+ttPzx}`po}61w578%8#h~Y^vYcmBand#ULEG9GxNqQ`)=-GR@_k;C&5cAW z=a%$W+q;t86(;d1L=R?4My?v_^?Z@(ro3cfstS;pe*%LJRI7AEr7Mid5VL$+!T_=v z>3HtP+)I6nnDb)mk4dpVB4c_*Je5z1bJm@@OTZ>#~&NzO#nmNuY z`cBjdu`DlmF3)Iak2FqBB2W0pLonQJONbpkZy&@@38#z#FqN9_GH5#o99Z*s_=uyM z3kk1Rf4KXljiReUNak-KOf!7;brE0q_RCVCpYf`kog%3GOJTf*!+l=gwm;RE0254x za3j);IzyXt3fz17F`M*Z=aFQ4d4NIJ7yT1MiTVqDt$yf3R7=nE&aM$(lUkvt+QGs1Wz>d{|^n z6mOTp+7mxFMbjju#lVtwO5O~G_8Da8)7$-)i=FT_J}(tOq|e1gY@TnHyD2?cH;Ys_ z2?=NR(q5thbs`~7?g3&-D}aeJ;74lG^qNc0cPadqpEK&O5%KxmnyB@GqvqA{r5wM$ ze}>QmJ$XqPD%E0Y@V{R?SNqaB_Z@6`C0l6xWXR3^F_CI}$sN}qOmCu=9(Ny4VMin1 zn55R)s ziqf>T!xL$y{rThl$qdRm|5Pw@0{wl*qgypnryC=VU9H9S4p-e_qj#~nqRYp4f4nj4 zICN4C8jC>1OlQs0W-+HV!UV1duFBlCdnl=B##TzX$S04e5jWR9bcOi9BR&STX|A_7 zTya!r6Mn5wcG;MYe!M^rXs3|~S+q?CF3Jsx6{R5Uvl&h?q{<*!Cf{!;zyg97AUFE_fj?DY&G=ZP@emW$P z$V=3dh&k**zVe8(9d=uHf8wai@Kf70KU>z1L}Nik8G zBghq4#lf{GsvgABb^L|b6V%Erq02%!&qc4FC_m`Jw&=P*KP!QW7LTMWYkz&8Q+%R4 zp!mgLNx+y!0jVV(@pWPt3WZ6O6RUIElQ-!%4;698E0E&yv+$>FszL0>XXK&8A-8<| zNVA+q*7yzCj+#{}f53ayTf<^QQ?t$OIqDm*a6A2&Xe?_1(Jg%>F8XX4 zNnrN^$kCi9I)%Nu>Jyf=yDghJpMfCuL!dv~uI!=D1-Qp|u+%QwJgxoNvgJx1vo(9Q z{WyPA4pPXp=`@;I^z_qdL#k%sYH`OPZ`Lgpwr zbls_^mV*qZe<{A#PmW=|7GFYgLc~inRmEfo61J7=8`hJ+R${f8^nRAMQ7C);wD$SE z8B+-5M+HY2HVd;@AW`!#!q?HvbxST;+vw2Xd@ANb!7e@K~tu0>upkk!AZM!_#lG_@Bp zoPyhtrl>8P~iUv6|rECYSO}`jsg54}cHhv4<+BAt1o3x)s;37H7m`tUZ z+g>ZD%|_mlJDBD?xG&(&(Q~9GuB626P*a_t^4w4PyqqCCmF{m<)EmmUUu*r+Aw}*8 zv^zzjfANGhu&SNKI0^f}IBgLycUU2v1C7q{)*ft6B5#u zOFu118)DYTem5dWdj{g`vdUw8P+-M%E4>wjf6Yr1(gh7Ol)P4dmVsrJrY`R+D+^JW z`A59SAOtO#PfWMgyk~q$Ib0J*B#7Pz`~usfHJcw^?7{BWX{uMzRH!0xSqNr^>bi(^A)L#z=~sYjz9_G>i!re99v zKYAlixrBe7tKa#&IsXA4v1q-<-#bmw$64GELVenrnm$s%TUkS1am|b5gK~DY)mkmf4nN5Kb?p_|JJ*n{B`%PE#p^8gb>(v`nHd{ z-224B*3in+!9Zn<#UI5qU)W){=H21gSFPMd_XD|jYIuX*-+aY8rg*umQ@(oc`jE4w z!bMuthO^Xew)VQ4*YpL_HCyJw>te5F_xks{GoMQjH3LTd&+>6O&W%WnO`BrKe`nkF z4on*#lpLfj4Mh0l> z^$}~k#!P@TRu-uKEdjA++Xo6dv!%ycS>E9nkT*Gz!^+>ob8eXA0F$6oe;dSoC_9n* zr~UFx^X=U5PFO}(JBh3*e;jDOxm$A z1!nn0ahh3+P&teG^?oIk$K0gvREt5!y-7!h7 zzO%Dfl__2X0ghy3)hQDi58<-!g%;t#4+D;YVxPOl(cL|tE0Y?Mf6s5jZTG#^pp))8 zc~!b>J1jQ+U9AEI3!Cd9eOZ1ihUNM7-0UT9B3!iHltZeL$F8nWIH#WGl~l_5#=Y`>Om|Tkvmxxljn{=zROD+25(|uIbdQ&F_Ka@XLW!BSNP7zumKwJzGY3aVR9{a=-yQtE> zfBEopR%e`}+IX4ZE*28aTekA#31Fj~H9cPX{Oo1fW}@**f4L;`$YN!BLnOf?yN~od zcr1}f_B3drdm3AZa~<8JEA0nSoqiG)wg=L|YB4#;w6jwy#^!m3vvDj64T_Tys);4y zoK1PshkjYxQl`OMSA#S57$;Z@iR|%7dna8UC6Hq)3_y=KB>tUBB2iS`Am^K(h?MC+ zx13gKsZeZVf9shKh&lD{vaf3dAkT0kcEN~2?>8_fu%#s z(;_ck^eB5R&w7ST?Jr3hO;Nk$mxa0=2&1G>gDl>lFL0AZ*xrTu^{b;F&S-^gvHy6=9m2cv$MB^(O~eEUMPgnK1A2Jo z70h2^-8eD0N4k~k&^Aqjtl%%SI^vnU<>m!cruwaBj?Xvga8I3NU{Vm=UEciS5gwss z(4}Ote{!tWp7OG8SsMXAS?3|JwnjCA_Ri=)f2mn8jiG_(>-E!?u~PT6*Q2aO)3qW= zArHk58Zw1-r=MS$QnwwPy70&p{dJ@meO-nvbYyudet5CNo0a0g>vF*=$mai`>OJM1 z+UJ~O2IFC1eC48aKphuPlD}NSB8E%cB*ztG@rR6&Qka7rS#=E7FPId}^)U0iY(2&c zf1!8^?bcznPwXD<=qLUr{j-(b^$ZV365rhji8E4Z?wrw>=XP=8);goFouXDFK<=la zW@7<<@B@ceFtarRHhjr!B})y=CnK{8cd%gFCU?YD^;WZYOe}Hpp~_BrU6VaV>*O(K zrZXL*3MmJ2(N$Dv%EA@>aheg%V}uK8e^MlOG7Ka-y`q_2P^yx#fgNsR;8kx#WYuG2ubB1jxvcgCqTI)Oh^!tjaS|EPegI=gXQVY%PAn{Ne*xg^ zd#oJ%=r|plai^DPc|)qP5T;je)^hpHD)>~PFM@oz?WfA3yN9dh>^mLl!QUyku*UIC z(7?IDtpeSeQ7cr_s%4WrI??o9s!5?xXZF+I^F`grRmR%5v4_4i%v9W5R~J5C3}4wC z1%=2CKSU|#g_#|Dwk|@PUYPk*fBwYFogyCqa>6TA@0?Aiwhi;ThPKm@N?|pcw#9uv zR-YDA*-GC@WHz1@&vT;_-nyCY*J-$0z>F9!8S%^X zB~yPC!AzDn!p3#$go{($2*^17=1Fvd?R_%MpK)HfrlnmW6Mr~=BcAixe`){Q%%rQj zlKFG~PKNYTUYfp9>C+>sXQ()`$j_<`*!z}7vRczPij{K^f&=*Z>~Kdx5{bBJ7>1c4 zVQBl3x}CVfqz54&TpM1ae>_&xR%k8-85%-}RufvBX^aW*e(s0dJ?{Ptp@R4z>d@)k zR_~pwq2PNF?xiH>->d~reeC8iUk+0_6YaHwln7)7SuB2lHkKoA@?TAvbg!Y&_7sS^ z!C6mkGAsbA!8OJHiWT^#552lvH3RKG(sa6EZ%d0vQMA<4HjGEsf5E3|4tmuFgD}U) zy@xY<)izmEFi0%kX&c!HHDk}AYMgOQX3+-P%$j8Lt?yOQ&U=;_;A%<;v5-x`2M}h4 zuM`Pu-?jUABgUIdexX58~D@)I%Jk{(jNtWM*mH$8#vbzc7{iURy zU<|Fke6D^;Va<2vf4bB~e#b3{@WKaDa2m(N&CPVDyIAet_lWQHPn!d zn<5s^@Ht@MiWTHh;Y9&;df&+ftDa@gP{JL7__?9}mwi6#e-sh4f00di?qgU)hilgW z+l5dAT-xrEXD!b7I*Z^B-TA$1#IK)*OmCBNFI7=KKjZIsK*OaT{kn@h_p%#{lhkK!Efs zltel_o=je-f2Dvrq%&FlI+JYcLlph}n;DiKv#@7@U5*dRNipw!lEKuOiPvCIfz~oY;e@)T5bDRWgn%s?s#3!vKN}05v zzQ7|vqn6iu+K+Un(DBx&{lIt2uGT$#mm;71te~{+33u)3=Th*~xyz231*4Gl*EbA!|aSra2Al~s-et_F0&ueCGqj73hCnE&H z|Kt$UNZiv2V>z85gNl6r4#P$@4yi8Euk%ROY8tUqCjC1qzrxtVs1pkHlE||=nbWy4 z&TL14rrqZ{b+bVu9Ys>7N+g=f66V})R!%E60%?YN8B zQ%%t}1is>^ukA#lb<>qHyWC5Y8_TveWrAhNY9@%2GK3j5SfZ-waI2-omvgG`qS#6a ze~Gv62Ua`~r7e7CNaYZorJVgP)Fw?XVZ_r!dIyYY_yrM>VNvxWh<;PA5(2m#@`hS->9nOJfDT9gmzLlyIuC(#Oy{|E4`V()5!qnd>jVB z4z`69gfL7Tb^$SKVC_S;mVV|I20Hf}S)zV87h9HJwyZ6Ak>Oo$B#W#nd{YE3pQG1TIb3yNOn zGWL%o@a%}$S)u?RB1@qQNZrOxta#M65*matA#086Y_Y}ps0Z@ys=w)=c*kZ&F(@aZ zSrRRB0cxj;*E`MaHI(CWV-*vie}%=A52S`;uU}=YsI!M*^ce&15Wc6ZX9Bi&hkh)d zl=Pp;3`@(9J!1Ne~2VYr;2Ag4;MvPa9f0FaBq#Ac$tCJZ7 z?yx9%lE8)wBV&J`3Q#txS4S+pd}z%@b*guHjF`d-=}q--%$kf$pY)|sMdc8RVST}J zm{5t)d{c+?HkeqtZ?NA3f2{DlA;=sngiuwI@h^``kr^?zPzYe6*;&bjE54l}Cd3G; z`Q^;4n6QFBnhF_6e;dDO?z`7Btro2-hTI@){xo=pw#`S;Q-I1yx^)Gm=vt>cE2i@? z4u0s~IlX@JKs4G|m|Ic`jYpTjH5*@6{%+nIVyPRl<0`{BkLTiQe_$hT_Qb;F*Q8pI zaUIVg3DBvi>P2|k$D5h;s`#E`6O*9M;}UD5ppsjw=7>jFZ@)NnMvC;=*MqkA(}bn^ zgLronV>2b@Sh2@OxK>|~o7f^|E3QqUZ+guCN>*@PM1hX;0L8=1&-FP+R$On_Wnu(u!&@d60b$Z+4Nlo_6_fAOGO;z4=v@ATA zntzFSu?cy@)s zCsA6*s$|$yf7pVLr}Z&}TDfGct&7GXj8)ZFJ)%g}8lcKzZihoT6nzb~*n#S+PS`sF z2cwVbnf|GkS}gFvRwF;#04a6w?AM0bT5gGzJb#{Y$7^GpJl%RCgNf4STeZL)j4;6Zgq3(SH%+o6Aq zLp|Fzp{%&|!Iv#VH|Il{_2QDRWSL>n!0Wk(1B62As%j@IGY**VR_ZN`au;1=;%6NV z2^l->ZA^r$3eQQBjJ^i#os8}3-`ok0KXj8PXU-X9RIBvS`lSx}jzN%ecs>+7TZ%!^ z8DLjqf8(PTWz5VO?!~PVl$Eu*oS1I~U%^O9pVgL!AhEbra;4T)X%7ajGw}?Knu`nd zSE!jI!_4Q~q8+TV=Qh&iRP@|@RQ00&U1uo+r<_qG*78Z+ea0g-jut>wJlP1^v(-@_ zdOe5bN+yw6Voz%sLBj!l>l|rO`F*qy^HugPe_7G`)1#Vh_-$c}gfNkBT8v%8j7bHd zFap!P%shbdl`?Zu|HJq7WCX*jj4defc=($rq1Gdn(=d#T@=!946be>3e}#pdg?P_0 zV6{^@%Az0%HDNEtN)_(@Z3qri#j>>i{l@RnorbY3Sh~?w1>fmY7w(ALw5kJ_iy&Lx zf5vd(RLQ0(GfOXs^E%P>ewEIE?tGwc5#xCOy}RS90-5085;t*3w{^7YvVaUV9weL5 z485e?01a)pHXj(jFHdd{A~T137n%EOWlSqz7}cu=G@t6I^IOvX7(~gkV5-AKP67Mc zeH{Nl&b+pT>L_QiLl$l-d8fA1Ps;Nmf5u0GGRd2a=FG)0s&L#J@I|hPbD_B;{vsM4 zjy*jX0_92XNu&As`9^=7RPoo8z47S2*r$?MO1VS()EEe_yH` zo@STH5VD+ews*^a+(nX6IkXF5%L#0K#UHV5j=U&Ok%D!1X*qizu!+H_>PT7@3=Wt!Wpd75M+9mjrOGIV%|6V~knYM#Ga|z7;$TjifVGLZVe`CByohgCr zesTxXHbCZv`pQJb{4Gj%-ZiZ_M^y9b7w#h)1p35^hb^NaU7Of~<4JxivVLiBzq*Tz zICbS>%3?2>pJoM}jB<-bwOX{baRIVy8Qa&SP>HJeSajG6W|yLzPi`3LBPqEF55$Dt zf(0cn@pnvm$kmyN4I?fif8D}4L&i>4tDf^@ESkyygNF68^|}17hr_fxExI`_f?K?_ zA|kG_a!k$lv1~_M7T45MIqzI28f?mrP`P*x9Ywyw@=BU0;|z}($l02s4SV`{u~#Y1 z&hTxXS?>4c6QbX zwYn#Y3u6^YYNCUhgw9N1Aq4z3xT4ChiTL;C`(1F+#+&v-JJ=?h*B`%byi+-?xo-)` zXlzTp`PIaX&#}Djx7K2`0x6z)t`px;QvYxsMsNoNTG=4NgXUL#h-}ce=&^NndhZLs z2k^jWr&%-Cw%hWGe@SK4`9XsO0x`RB=cLV1niWFmi^T2J&$=cI_($iWh$;s;zcjIj z;`+s#EflVanS<~N`t1s^vV9xumOMK@`^w}d)FZ6y;Y6t8RX z>6lRX#YGC9kC0sg8SZpQVl%cPq>O?>bFy8Aty@`V;u|p+e_U$aIt7w$%f7Cq7U`OB ziLX6#j;U6vB$Q(|JFUvx4b(vwr#<0O0-zgekLBUC){a{mB_zOpr8824-!4Mcku+G4 zlhasbhiEi?5xzi_p zn6ZB-rI99ef0o3-=Qc^lD-YLU?}}I~sq&mP@Rw`FuYS;tx~%nfx?gAvI4&~4dfF^Z z@Fu2?=%bIc+`kk$BWhaOi@inK{-9KWKHDbl&?eWTPQ6$5HI+ldG^jnpF>mmHm?zUz0v(+Gej zq6ZHvhE(GTnN3e1#e1U5C{eJ;T0wJbNiSD)ea0qSL#nh-DLGz0?jsV>Lx=+##EbP_ zFidKh@%qg`fbX{1uh4i|elMR8>@y)YCR04=f6NwLP}URWyB-_c1$9AP!Pu6ofpJEo zy6dQ+!@}o z^v5sT>R(i}*UTnAEL9vE?};OKUy61$7QxT+8k{*~0g?EiXKB!!m^p-V?6i&y-~Um!Al2AmB25?%hk|{B=M=6^X;w6q^YEkw9+0taLp} z^?x;C+Xi^)t(^%G9IjNL+DpYlgMoP#k()|3aFOzcB{Qv-e9&A71Y-I@W_TaV2KH3!SkbS1LaEBPJ-+xsX zcOt7J{Dm#ZS73@=^)A)I+?q>n_!fnXj9hrIuJcb~Rg=UA<)` z1q*W~Kj^>&&)0=WHQ-yyISD1f^AP=v(s@a>*wXi%PQQMTgRDpfR__ajB7crthrf&T zF&A^9XcUGZ-^~rU`1;hTfhOv>CJ=^6e`7ORj6?_r*Sx^u7M*Pl6(4(D8T#zbQ&L1{ zn{7?FunbG|gYwsJ$_g`y`?!)>0-pVa?|Y0RYPj@8WwT1x>?fzd49PFYu*Z6oBOnX5 zZd&=ks>~f6cJ4Sf54r0JK7V18J#PGKU+U_^4+N~i{wq5*UNL%WC1-#mn*-~P&zwUn z(R6LU@%1gx{diL*G%II)BiB402@}!HMuj!LpT}WAdBCD*idVPs&Yg?K<9MLUp9w@q zG8vqFO(i@Ff7K~q1uuU{TXoowp{Yg0-CC1JxuD2Gqo~})!mQV3Q-44zfSYR|H*EIh zS-{O7Ydk%CZ}ns>Ihlo?K(bvt8nPNOb7|U1xwf0;)i&nlj4{o2wBu(3lw?s?XfWfEhQv_tL-i=2=6vLq zQ}xkx2rCBCp*O_vOuBS`QhCTlf>DFhb&)j8Ng zOsI(Kx=KX62zgej+TGbI4?f*2f1d>{Aq4y!NRU0;4)uk0fW;K^=K&quBWG0bJ9E41 z(Zx^&;ph2_zHcfLziJ)jMm<#@5Xfq_4R|QLs((qmP8z6)HP4UXZf_>6S5KaV3W-S8 z4nF+u3oEk2Wq+)!8E;a4JoXADo}xjuQHC~fDtQIJ$hYX*3dVyL+w>9@{WrQ1#fTV0 zeth~kuvhHgr=K#Ud^c!qlBjKueTnqMDi6`!rxv~%#y)J3DUrYAePgTlx)nd;9F zW37=p%#a~!p1g@;>GQsfEHD?m&rr(OO21~GYQI1nRpX-%Ba+j#yX*|p8P!i-Z~thH zyy9bV5ySYw(+ODZ*q;GUIPBUp-JwP}1%D|%QDQ1`+_lOMezrV%T9)oB^zea2b=(e@ zIYR7nTIDY?g#QY$-53DZ=mb-N!~eck$QMEyw**o};HNVJSMG`WwA`WSG?3{|9?_h* zd1}l;4Een!Ke+}%WWjA~-5B)=4eC7e4)>HUSUm>YL+3<%WDuSHK8sqw_m?7j9)DK& z-ZG=4*p5*l#_?&Qa=ir;q$_cv!^NyumSzzlq${&fk7K}Ao6)5miv!2Fo0~#ifbS1q zGY+47eutrG>rc-XHRl2r6$gF03ey3?Nos+o+a+bCyTLWj)E4jDHT4y;GtbQ$Wql<~ zOCaW250+R0f)WOcpiH8sue*6s6MxEJZm-fIgXuGo<lc3`yZ@47QFIm4bcZ!zswL&ajJ3O%EO4YDVCcYgS&Z0pNP5b*4e_GfVVV+&UP-_AS!`_z{S)V}G=!-wwzV z|62caL7AJ|9eq0gXl5OcK3dDxg6a(*ym&WG>cl%)B`YQLgFdWM?lGQ-4V~ot7AQ(= z;aRERlQMl_cw6&JevvIum#QJI+p_r@=1Xhp^!6>EdkTq{&G~$}2XIAfiZBw-q&t!s zUM6r{2wH{6XI1R{;<$%7JAc!w<3YX{vWO<(0tZTRx529(g=~cXwIy^w`e22vn^l{U z24?@MAGGX!cO$y4U0Ueo(;-5!fkR{gSvaqWhG`?dvd*)aiPn?I)fYNStQ5VN&K!S=)1N6zLl zTEzIAC%uQ7-S!;TATxzuMr4Sr)G4JnV^**wz)RU0Ctv~qK`3%i+HMz3QOgJFlat`< zw3XdHP$r|xrp;Ck4(lvQfct9WcW8s5Pp!)jxvh;ctf-F^wixxM6DK=qzrDE0O|lk^ zLSdvGL09Bm1018gn}2aE!4?^!baG*UEdyPbE60kd%z;8&bP*xmQ7!cRK(;hATuvS5 zd#srvjKTKwT;!xL!xPj~N+5amgeNWe_e|u>SDpj#K6MH%nJ}xP-S5TFf+3;GBdNnQ&6Z|gY1C+h~X(T zfiAArjt;#4G7xhCnttf7Mwb7C`^WotAZv%eoK4Kk9POP<9K5U@ECCkQc0hoV zq&y?Y6GRU%aWMaFXkzE;_~vha;%;JXXJYzh@K@y~07(%QfXQ2f|Fq|7=3?yxa%FV2 zw)@>8)9)~EEK4|;i#gic106uF@W1O5w{`)Vz0vN)^!H?K9UMIzeEtCz)(++tznd_3 zb7InPuy%F>%837C@+N}+BeMj8036KB%v?Nd0H8Af=xJuf^gFz|mlN=RFD1)w@mmLe zK2DBK0E@RKfPU5%z_&l}KCUM2Kmf?a4e00dZ^eHjcor6bxwRPxU<$Ofc7XpA{Y?zC z_#3|s-^JP!pvU~Sdn^Fv-#`EPWbn3L=8g__UVqI0nlF=rwyv0rF5TZ9|3@b(>gWmZ zVPIzlFtBnk16Y198Q0r?2fzOgqikaRPZ|I6m2t3e1n~S_?Hf)1Q?dI$3qbwPanJz% zJC>s3+tvaB)PI&-pP7T%?ClrJ|MRN<4!SU@39R9bd7Vz(*RREe>yV?J5tqjQI?GQwN94zhrL!-5;q_ro| zT-h3AX7%^B{4Lk`ePwpm4nSo`SL@%;3V`8lH2+KYc3Nh(Z%>Eo+e-eW0=`|&f2Wji zFmp8jePXN}oB$IS7ZWe|w;{hF4uB8K+bx;{J^xx_028BwBk0Wq@YbFmz{1f5{`W*V zIRH!|zeRr|P5_gC=pV!dU=sU-xB*P!e-ICVN#Z|L2u$Pwfw42QaDsL2ubL z{-C$)nt#w+KJEV?p0`>|{`CG9#RT+6`DSYR2fdYM_8-K5@uo9#w0oQG|47)`e@pD` z|AhNJb|&+G!8iJVefqm`cwTIWmaBJRzQb;S-i=t{{`O)w)q!)qt5nU@Qo0=f5A7l?Elru z8xwybbG*5KI=o%Mzw~dtI{qo<&Drt4)Njo={ZYRKb9(!7bFc$i{9%us~{A(B9y#JMq^)0;Dzu+5P z-hUW)i{uS-`8(Bry)kBPE^mB+{`wYpdw~82|Mi^?1bPC^;Fsnd&GO)%Z>O|gq zFzk*C%uwuVeWGFTS#oJ}dq94dLQ|XdVZ-G{BxR@@b#+^U`dWCI_}S;ErQu!pwEaSXUZamrQTm7;q{`wf_>>i|_Ah$2 z@D~&3BGIRDdzYITJ-lQ0ds0yGBEsRfk5M5SMLPvL%oHVNhT`EV}!fX}j3 z#LTSA4c!AiO7M##&-Xmeue$L}v3+wEZNbh1-5~fim{al9v?J~8tO(DFO~N=Uj5S=MJ%7V#j$ukKwiu)Bh|CJP%{*z|G~@Vo~MxU zmu9ub_m3QfDkM9{(F|h;jX{H=!J)l8H|7UhHWq zRReQ7U6sI)WW*_`ikB3B`s5Dz z!+n4m&tLFG->a$L6_9yv zg7qv+k8P8TOl_0<*j4M7viCLv_&8$HA?*?^;{K0nN1>}B!q$YGa40t+UCoo2(m0n zEhF?&Z@rl8IG8M`0*tc9hYRK-=Vy4bAkE31$dFi?LNte+~<-^F53zi&=9$_OGjpjk?rL??7*8_lmaLIKZ${=OK6S##; z&Q_n+kz-ty!~Ho#(?yRXH7w_25+SB5DwWd)PekaoLX8|HM-|q?s>D2_nO4IoqfAb2 z_jveF)y$BDv#HmKdo&9i8;ZUgz=y??8(pAerLaskt@RGSl^w-Pr+0JoSEyp`#r0ly zOH9uTpXH>@_)c4Yg4EZIR5|s^(=lGQA=FzamyB&jgF7;D`$PZCG8a@$DOA24H_?0b z=m4XBPdXS?MxzXK3%i@-towPheeYqW>8c> z`194n2?=vzmrlN;_dWT^{p9UHAVqI=$J7v($B*?NtOkyM6+Ih(8lz_+8LJT#w}X69 z`(KhUIJ}K4$gqp4=CI|1UYxfdI+xc0gpx>QA-M7m9BLOHl;sRZGj+8&Qr>rEJU?*- z2B{Kvs7Ie$WuB}Q9~$O;c9hTo$e&ms<=xHZ?6IJ&8%sJV2T2uZlWo^Vp)A3g&r75!LZc=s&$P#1f&GgEqe3i4 zq;TUl+m5fsXQUH>n(W)6Hnf8zOd=_4G}tGw5N;pUMaM+6u3mK<>K!AkGYC_WmjF3m zM+F2UjQN=~Ewqgnf`m3CuN{ShSI)r7yw8ShwN$Y+giU z>Dy)~3JMF8QhmD5YkH9ORj=Q?Ct@T6VBpa0D()*uyJZDyfKZE?qVZay1b+LPG#{Z> z)dp9r@#ynUgVsw=Rnf7Vv5VWjOU4dBR3g`=L3X@81KFCSlspp$MeoAQ9 z42rX(wzI71%3d~|u8v(JX+Ef!OPw3h|M7fp!mh%CQ#a}lsN~sl)+}x=9W4eVx*FP^ z6u6qlWjY{xCv?HSt1jFx;+-du&+*1Hh(kN8a6yPFE~p50R}^oLR*>T2A(xT2exXc% z5?Qo-|HHwK(+fOY7A{^=squne4sQ2Id8|k&PDBKE*gY&MV13%IfO5a_PG@(afof?S zOlx^^H<+@sfH_DG@Pdbx!DJ0*y)^9xXPSfGEe6j$(CaNCT|Ae|1`hAVc%hf)*|N0; zVi9qxuE<7o5}9DsV=q)&WHt3jrZWS7fXa)0DFX3vtq8(>zaNYhD({C6t(=(wEp zi#$B>&~>(?;0@O}Bx=T150bFZ3382ATT-POZ-1N}22vA=AlfxyO#NapUgdUUymX`c zwY^08a4E=lMjzlk)G$Q>@A$oTBhM3ZkolAA2ekP?JajDk3j|W|6t_PBvh=pM+f)TR} z3ANTjDOuS)(97+-5%$PuS+!0v>OIy%`;V1)OkWFkNtH@+F^0#qdT1qo(GAv^N{qcK zVpKlEMGPhDtE6a=uKaKXKQ0NbAyIIA`4V$BBNyB`haw$ARo^HG zuB#$Ejv}+P38E<6c}>lK>eMTv;p(Mqf6B8OcgRNkjCZI*HM?Tc{Z+l^oJ!jzV0I&& z$-&4W1yWW%9~%LdI#n3Hem`$yG6TN4QIXI`PO@=h<;>7F({#Pt;io_LiLrcbp#kiQ zNs&fAcuSwpQv|yE)~Wo)>bJcD_M|J8Pvij@F7_g?zg$x=yZbMHFC26?AZgRT3zXju zyI!9;W@4HO`y_bHBfye~i4jNp;F!TOY6a+iJw7GcW5#hn*feztwRBbfWKPa7rGHa9 zKATA?&+pU$h2D%}hk@wZ;-A^Teel3I)SLR1&SHGP+pEkynD~cLy{LE1aCmOm6|0c@ zY%44b#Lvst>xJ-t9&K=wLK1GgxgvY`)Rk~tOOGXzckr-xT)UERI>KIR2L9)vxe)2q z_jZn=^M_SQaKx$E?j+3j2Tf6u$sZ8cHXeSJOKh0vMSQThQ}$#Jln%|q^8NWv>#2cn zMT%%16IBO;luh)CW9jl~@9u}RKIu%Q9?Ts}>UjEz8HeJ39Z!XmAS;*bchTp|Mpq`t zUr}EzsjtdCu~g`hL4tZUTijzwQm%c?LA=DOBnb_+R-^hW7E$|y*tsJay{U~rCcNr&KEc+ml?(j^tu z=<3*EHK-dFsa@%58ziC#%^;8DkQ$vP1Z}jAZDrh-ZPlq-&T>%sa`-=NC2!W@B# zYa3J4`0#gG34#dOJ;6;w;?g$Z1*qGuST0x}JXcfU_ZYhcmnY|IYx5^;(`uIOdif|* zYsvWcmow@vfFEfrrgkK~GmV=DnuH3rWz4{Y)ubs?`Cp-16MsPg-5tQVF@mxsKM?4D zZ)<+8VC%aysTLve@@n1YJ)fb&%rPS=^gM%3{a`ww@G>9ygUP~=Z6h`FXryFdn^dYi z6|H)o%0j_)>fJ1{wwA`T-_uQFQ?pKQW2_-U;Oqj0&Da2mbo*(vlJqO=&{!O?(f!wG zzJu`k@r!_jE!k%GeB}BdgH)2$ySUPCLMHqY*vifeC;6I32ThMQOVfl zJqK)lCfRLx%DV2BX3XmzAy3u&C)^ec1Q>Fxo4v6|b> zYn9yPB6aOD#SPxV&&;`pj-$)VpX7PXU@rUN{axoVvIc!McsnSMX3v^e`XaAgDYSQm zy2c2o6m&=^soDPGJPCs>Smk!G*~=is8}Z}3*E={TG*envpCVr4#C@?7j0kDcd)p9q zb3`=Oiy}}_eXIE^n*eT&_R*$)P^&$rY~md@!wg%t}bWzyW(kbgD76?QZe!qf2&PPsF%fN!SI5I@j}JU#k1;4;u}?)=If?7)fQmyK7ux zDS1QzF~!UxyllpfZk@qzvPXVqsdk7kdK4G*bAqAUv#7A-u_7mYDC+}%HxNEmxHn!j zoNkelyW!)ggemeEIQkB?Bje(HDpJN~A@X5E2hG~8DzJNPr{|(=>hyRTUB?CWkWl>k zhBrQT*_`N|YBA&*AV~y-hIqtK@sS>rX6u_tMbXeVtE~whz1dQ?S6H=uTpqbZyb!cr zb-8jAZSoxt%b}#NO2K-6TM(Yn@0|yN3%#IZs8e5CZBRMnODkkL%^LlDt%y3w6THQ@ zFf=B*KYD!EiKT0MuF~ZlKi%)0DC)~%>9}aL3s?enz8?TLcDoaX!1sl!Iwr=NUyV3u z$mlm*=6wH)DaUK-(b(iHAH8G{DN3()JPOr#x_wk4r?A@tzYF?**o5dkV!rpD^@Y4i z2oAcR&2ZiRknm$+)UID&fG5h{sn%EMcj>zh;jVF?m>p=5eUNMIgmlZjFQZe2(W3>M z_qk7qofzb&C;guc0teD62Ze~P3{#F~Fr8U9hG zXlfBkx6<0a9+KmKND3FbRH^~j>OFO7`O|XDWGC*UTZ3fYR62hThW3NkT60C!B*X)4 zE5xAX%`3Z*HmgDRNA@!5jIn~ug^LWsu1RNkpksa3CykR!f5hA3#KcK1!DE{KqRVt? zhmScB-^!{*xJVCu#KTninOH&33Vj1t_pZAofseL4GTK*vi57+BSyPZ(uM^LYbQnt| z+7id5si=dDKYx&PPWF(}%C4w!A$Kn85Y@sG96+DitRN9mPA`cZ$Rg5J?nQL8e1}C} zMi;nFRm|Td=X9@E!&lcqizHjYt&vZAWgjp7wJ($jHbHfBeA{y$Ac+U5y5TcG>rk9FFa%di(j4l6HI6Y*4^+xcCcSzId5n@Piu7rnHhS?8$ zrcs~NXAukblucvVyZ?O1ZAUW80&;YzDD+x+qimQ);$s9i%7-V7;;aV`nwm39jHnST z=ULuOa~rbamfBUU2&;F_0|>=gt*(wp3@yTm@N$q+T=Cna)xIi|;ShTj54!-}3vX9{ z-_Q?!g}w4~4Qd!s#k_$Q6?68jrQITIr}6V=Y6z^o0(c4YdSA?&7L%T2v-DTLU=vjn zTwt3WFXIM2-J|%zjDXs0Sf=_jMfP1@qOfW@r)pmCMrGZ*>E(!h!Qn0%LMKu**FS#D zg8%fCsS?tlx4O=+(WT8x0QF&cMaYbQ?uKIXGu(;d_f=6f4xX^P;I1#=u@yO_J@dU; zUn~#Q4_nuE3{A_m`e0#JsOxFT0WlshRd)w8SWz)a+o6V-iX<-8Jv!dS{Ka9EI4)^? zBIYt;?~R!vg8bkaYyjY#-ufeU7bv68QCV{A__Sj|atwG2Yg)x>yUNjHH6j&%QdGgc z*uAR{IA12GM#_iB5EOw1yb!C5O-@6cQE;G6qE>w{pqR!3@q>Dfm@d z_O|@@Agv645N#ih9@hmF4v;Mm_?VYCc}#^6f>}RU|0H&V<#9H+70IiPBhkj>*-czG%*6KpiYsSb2ARQsvj!TP@na(i76Z=cuBS`SYRS*rPLn)?B zOSWQlUhSAW-rZaEi7$GAi#18JsW@!y!jA^-(2pWp>0ygeBEfy_%LTK4&h>qHxda|I zfHs07$=Dg9$!a2g5YIP+Ixd$fo zeYEU!wL z^Vlzhe~@nIr+kgxCh`J*dnFn+ZARh@N z;vmw!pbyArz8H}#QLH3(znYi)|5 zW7ETAkL1(W5%FAHm;7$EDNRZZxx?4tg8qUw$b297hnlQ989~$JiiCj11X_II@CNA6 z^KtbmKv9FnB+yTPlez-T^A~vp5&F4euLvtbYT4-5&r6QRHz)4Vi@vxp-?0JI6WLxT ze9xC{4jw;WmFx}*8-F{coTOhz6sw`Cfot3|N|CY1*;oIJWsMlCY(fSz0DE=Hk6Y}A*Y z`esWMNKByD^2O5OK&?nPKHuB_oM4ufF*d(S4U!)zJD*~kZ}(1&oN*$*q2^LsYF^&G z<4i`>dI2wgB%Zi&GN88sOB5-mqn$}Y7BaKLPlhixq(wV-E3YZoe-CzmVW@OCCM`Lq zGSs@Q2)7;|niYrJ*Ou4XBk>o%J9Q?Tkga1lN>}9_EfnZ zNeB5Q4%Y+*P+m?Zko6P~V&yDtf_NaZQ{Xe-xlerr0l5$r>Q~|6+ayb=A?i+{^{&^C zXvc6LM@>O!hA5>q=%I4yy$71jILY`wQ?P7&8N$2t-L#93OI*2uXnY!=J$=r6h~RyC+<+Q|s-OKp z($C2N+g7Zy;ODDOS)%8GT+@q3aTm$VK}idL=FaAxZi62`tfb=eyN_(!@fWFA%YHfW zy>GdBw@aZ+;`L&RyZKR+3G}QUEK;tuHm5ZR5gj48MVL7)C%rSZDd_6isb{(ht!4i9 zAHoR)`=^j~#<>}}^X_T@d9$igFesEVG7yF-OvU1O`P{xi!w5NN_K8wdr${)t!x~e6 zXLYn2ZD*{|J<@Rf29ftV`Fw7{>vVym3B6={xpo6V>_T`H`B7O+82oPaI;=37blH?Y z_t7BnsJlHvwvwR>a`H(mr*j0#4ubyNTp>rCt_BI@#S?^V!whziihQnagi4jo(wnvI zt{6Wkr^O(jw5MFR)CUdYRE=2TpKTI<-LajAzNQiUUKH#D+Jdt+D{7ZyL4Y8no#7Ou$kM(;c&q{oJIfr`LXlc7G2bz_jfbFkOQiJt>TZ?&Gr`IYEUj&SO@#oG{3oO!YicCF99{{hGNtU4XFlK1ATkZQZ;#r6Nn}1RPYL~5^uhX7Z@Q? zo-Hic6^pmk#Ev>j!>>~J#pqi%aM*E#$eA10QqxNihTs&;mnh*IMB>x62KNLyP9%{(APIcvIk+DTW4GXf?+@*?)7_C8 zN>LuD*Hq;;EIjyR@}88`dDo|@OqLND{kA-YWJ-TG(_q&`*F}}*=P$2Pa9Sv%TiUVR zx2-D}-CBvFFbAtLD$C=4PFz2j-gq?spyzvFCBNe+DT^1^zSqCAJpniFWfoF|6j<2T zVSoc%tc!Qb2&5f7d%3v$(l+woPBBDUO?>eM!*EgTz?DM_rHpcP&&3(uto$TLT8LpV zh|ZA}nCNJg(p~QfwU`fWJ^=v81g*kLe5sO6;dZc)2?mDdIa_~!OOEO7G{XVeP*Dpw z74<2KEXWLgF$MFc9(Y#Sta`_xr%ZH11^;0CPM%+uk0J?~(_HJcuVEvW)gRWmGR$)p zkxzS(K31^asXWonr*Ds!kv;lF)>&oOXI9(Ji%-!jp2}3gho9GC z3BiMUDa1l~C}<{s=#i57AOyaTl_Q@*)&BApG!rBq1VSfpoJ9=Or&{&lGzyB6Wl?gO z9yuYCdLUD!>R@-Vl13Wy+ku%W;vqZps_TYm&_!#(RX}$LTw{e&OJdX^Z)Vnk&odEtn>nk*-oGCA z`_J+|)b+jplw(5mGfw$*n4P0L3@?j*=Hh2PIJjzmGsWi*+gS%8NK2ynKf>|rfohy! z+-p+GHVjsO=(CoNeAtvBE2p*)RnHvN3BrD>od_4!yK_(H!9&uCqu?_4YAh*tBeX7C8{9jT6(BNa!aYpYFNV z_;x4;K1;Q)chWt_OWD!~vEB(P+R0ki&xWFZvzEtyb=OS?@)jj}i^DC+3MynCg~AX2 z)Rmbnna;{_!{CgJdz#G(IX-?KDu^^b}7srav(RArGkmY_Zc8oQ*^h?^tbxB`pHM>WA0)35hKbeR)>P)vP-+8dR1N8 zcSu){jRA>dw9PHcqO6-3`|YK}PR@FUNwMH3m34=e=IaKloTox{24^Pz61Ovos?MbH zU77ZB<{@MsVN5bgMq33I6CXQ_C0RzuZ9FS~pzjF*sp|HithA5T9OXP1UG?HLJ34tg zw`NO%Qx1}dTb33b*hvOm+-8aJ8Zp4u4NY~L$iKoYrxE`WnHF+MbZbuH9Rf(oga$coawpkh{UH44X`g$_1{Aj6W+_3|rqUKD z^FF-TQ|h?SG4ZCNY!EuassU1Sg@#LixqO_a8By`0cUGKjo}4xG*vmIcdXFJvL2;R4%db#uiwBNcJI{snGa{~mJD9d zrcnOXRRrw*I5aEQwL(YLmot;O7!^}!*npK|XbP+{%mrODRiz;+)_Shyv9N}Ju5Fqn zPdh+bFFf%S;Q%s|vD1FX!M8y~KSE?w<}iH)ZA1uxr*x@x0gA}>$015TkMfT^{KX@@ z%I#`sO0onVt`2%uW~i(TdbVGqksiG;kn;e_C;`!8*Ov7<$KA3>k`0OLzR>OSwyVYN zG2jsr@MIPUn*#8Hfo`kV3VUsT7TvmxUSRj(KNuHD40&q82!uw62cN}s=YA}U+`Vhc zF>OmMGP+qImHznD!aIe-cgj!e=)R|r|H#u~tXu&_dK#^v1A zat18<5WR=iwY1Td|GYjE*R+pqlyAo+FkE zX&+e?0Fg;`hX^>XhBmZ+jB6v(>WccW2@i>T{IFE zL(@t8ZUu=JE{ALkwK1WFBs`?@p?qcZboCVqf!@*y;(9DC`%9LzcQdn*)y0-+V3 z&fQ0WUf2XTa3q20BL$5ep@)SM^}@Pi=_g{+b1Ztu+Tw3l#0xrqJiVx$ZCqNyLd$CP z!s=Ru;Ziq2U%BBiv=2|SQnSC$Xs#%xH2Z3*<+5*$i$Y08mRR3W_=>rmwB{Hv2_0l) zn(zQkKj+xEv4M9?7I$vAJ$DRgwkD`{sJ^h&#{W3BM>Ot5i=~muNEeo58()RuQY?8n z6KC`7l08IY;*ZN-$? z&(JsPD*m*+kEeoIuZuO@w1v5FmB-u0bgO$1D#k-d?Gi5uEYNY~hdUTMnC~KnKAozc z3>NriRvnywp9d@D6X+uJz?Jf2IOV+*)&S9axX9;OvI zRaxsKaiwe;K1_Ohs$MZW`9;ptQDKKu(Gta$JiL5r;?<64AQ$i$eUEr{5el~x`vO0tdnbu!NNSWSoFjYB%*#Nu`Fj(JuSOd)Su(4hX|dMx7U133N_!51C3G=aeg< z{Yr6$2zgGkkXf=CYK+hoZ&=-LL|i8IDVqzeA+ZX7b8N=bDbhRWX`en2E#N>csXhF{ zk1lHG6^h4vK1!x!#0$RB2-y?MtAd}WOiT*j@KldQy;R>>bxVT(+Nf(M+u`A(9wtk& zCXg%@Z5lc#U_9zqzr^}2JfskZA~~6QleLiwIjRKD&2(g<|A8vAaKj~SYEXjn>lE_? z&PkttpRliiyKIj_%!}ub?9gb57GZZ6Z071TM~qQ$%}FYw=a&MMsN)Zc=_s}C$U?d& zNEk>`gac1@S=tTQt*vCqd`MG|x^T|?YN)StQM$Xz!R*AD_|rsJx%2cZbi^(%7S8Hs ztajVMF^k-+PO~gw=v)TFmX2) zo6{bZH8hiHQ?cMBh*M_aywk88M>-fe2+0?sailMNd`>tMZ`rw4FHnA25o>h(bMRMx z1nGE4TKFIbT%0EXw=-M{FLS3QMl8q95z=7>?nvv&6@ZD2E+KEEmGcR@86Oe+<239C zCrz%il{Hg=XxAL^`p*Qzfn@|K#XsxFSP9(QP8BT9sKV;H5$916@!1IGn8BU`2{rvv zIBDMV`0NZ?AROF(aIwXmn`8Z1cx!~(nrodLh^2^-5Pmhf(Ap{% zJ~KCCPhq68vTho+t1g|EvH;lK2x}#QJGyuVdEonDYU6rTa|C5Hw}A!nnBVKpEjbVv z(qJ6sN};n(7U#?H&e6RSOdzG5eYc*QKv};}6nodRR8DY_IISlwNlmPvj)x9^rt0^o zPR`=>X-~#kDF(5mSL{$!)$LV(AwC@Orv366b3$H_8~)rs(}A$$B8jy2$E8Syj|GX+ zg5o@^orv>G2w?4n$H?{s{yiNW75Nhix=V*mEc9syaXaaXQE~QOm3+;2jcn^h&ghMT zL+;@EX;}AtU6F4B`;XvTg(q--*kX}8Xw48s*SgjpkeWZp$WIaY^nK*I&n@QF9%FK> z+|a$4%e#d9BtEzmtstzey^R{BA$t0*ILWZk zUb?|ea6s^Se)Wf6WTh}-XLr*y8L+lbp+2suRd>jsizjQ(a?ZHyr_BxJsN4Fe{_QWK zc`W+n$}<3Qy`sTG#CL~oHiYe;eho}@bK2Z)PmQ-LHxdNE7s++;N@fl<7+_qk$nla! z>xsg!$N!Ll@mYEobKYNnl12IA5BpfjV6>E}E$;B9#D$IqrK4D6u+>-1YDZ&@IIKl@ zfL}^gND9H((;^O=)P5YoUbh>Lko^#fs&!1TYKO^lY+PIBayLzwpI?LFb|-^|m0hr6 z&NLYF?SnLpX%i@BXUEbV=Y4yek7jrnPkO;e-4g~ji^+wD4>@pus5*{Teeg$iZqXYf z2`*lya)FN?{S{~*Y^`6mx1Dsr^_$03Q-DL%EfoMjaHIWS za-ui&{BR`2>!jxCjp)QCkq(i#NAvPw)EnA0a>$1D_)kaJM|&@FR)D)S)}>UyCI>iudb1e&fFG`<~P4CpfR zgig{c@Z5TTUt9pG>?ot<;9AQ}?&IZb;U{|bqlJEk)0^o@#1PN;Xa=<2_z$~jh~S0O z11yFeSxPAGD(=-9QsOhAu{vq3yx*gIz?EL}_lPM>D#IF}DmCQ_c(XCmkUki`FRDXH zSn%|ak+29*)Ke8s*I$yvL@_fTHNTBWs<;v5F^x}uy_o;uBLFx>-SVpikCu-Nw{$fi zp^3_134cn*=6=05o_wE87MN?!B}_k12t6(9i}Q$CC^WQwTeAKz+G5Ayb$*jf|%c><2>$R;_+bAQ>xviP*YV?cE4sYrqT`3O0fG=Dc;tDM0`J zLO}t_f|9|UXF-l1N$LYJerHeSl3dq(K;=zdlOi#4L{rM7tOTiz2Lc9iP#B3nV^cHn z51Di4#inj_a9r+s7PLMTNTjEv;0zbq4`e4f`)Zxky$8TlO&cmOVe4(sHXbGh=Ow+ntR@m zl)1{>mnf{X5XqRmj^J775NullBfquzY^jrNO^4Ls-tgAxKG)LVxYaF8jvmii?gW8> zC~gOswkpCEr|q`3N~hmYQzv$pz=?|U z+C~!`e?KL?@xwN~pxou9)TgLAQK9&KT;{%i<8HxtB%)evzj@Qafa|IeaXxFMI$44} ztlD6@kccf`!A3E-uh!mFsblSbqw|4N9*z2-6BUhIcp@YBR!;bO@JAXEsQ%L(zR;xi z>AMd6B?!ZdYh)G*+|s%#pHw<3c=J^4m!QEj%lx~FW<}S{?S_$hF#updpTDx=)#I6Y zR!ikcI^5l>4>cVjrSbBoh!R}!HngrxRAzec4?`S+A>#{(@=cQC6=iCeRdBIHe^wt# z@9NR~dum+Rvc=2AKgMtpc>SooDmz!%D$Mu1^QNa<%PI}3jMU(0a=$5#iRF^ks6HBm zd~f~@r;mkkwB;deW4YE5INPgzh9&v; z-!+psDik4uuQpH72cv%);A0sf5{`LH{*vfuMg0jK@U{I|bdHyaX$i26iyOnVlad$J zBaVc}zBdQ)@oz6)RBD7}SNFV>?q-4=ckJ*KAJN<1E`WiGC zVN6^hyGIyDh6|(S!A>z{?n?q?)Wu?=uXnyTFQ_ufxxc%yTlOWh)jOf_SYUhK|{tU^Nbp47a)%T2B#d84; zf>i@UXJ4tl2dv1;4`UZ}ypF^WlZr5`hypaczcOUx+CtW)Sll6bD+ob-WBDm{Vei7Q zVm*C?cSCU9o^dB=e;i2@l7(-UbTe$k0O4!u!}9(IPpK0?Px}!%L%85sM^Fvhf>tpoKvB-5D{ARRbdJl^y zFWC3;ao1%=-#2r+fz=u0ow|bt*u{B(ViyP|NsIbfSV>tStoiu9UKBsXtpx zIc_sZ{WFcm>(kts8hceea!~!I-3l0EgE%;Z?6n|Bl}dLd$8o4{`zK(2^cy1H9dUB9 ziSah;>KF44e;X%5_IZf58(f*qhpeSGdEDgB%c|3Us1lq<;O5{CGl9OzIXe-Ja-wU! z4E%l+OVLbE#QFk1YcK=dgCtAKP%f(dp`)qvxTYajqzy7>p}k*>q?>m9{EG)zDvD-o zXn5-|?eKH731cvg0R*+cP*s;I<&nMfrxtnwdlzp@i9^8vI-tW_4m09 zM(n+Df0emS1qni0>ytghGRg!3msol0d{W>D;N9>QMXbxBz88(0Jtj%8c|^eqpB#82?qAlQAvy!fh!5o%J1jRwZiEQU4w2{3lxML%F+RaxV4yaH zOYL@<>OVSBbUn869z&%0HCLNIYIfJGbs+mYI@6{WmQiv{v0I%EA2HNTD~nos{|qAG$)d>*9F(f-y)305AYxey>p$FRNqGjK@!b>Y3vk%fuY&a%Gc#OZ>JMk zf*7d*niq0m0)FNoG8GvSz#awbXKqcfS2sf(t!#ml$(2mInFSz-${&f=@kVK-ug-o*==Yz6pAl_NKD-{#B$vH|1fU(3wv5e)Wv+^?7+($lJRCt1{5 zoYy4v3ZXvTsq}l4NY#E~cnuAf2T?)yA0w{lPjQKhKP-M9Jj*?HksN~Yf2|l`AJf5c z&F8_Wp6j>H?77|aFiZ26ho6-y(6jq#%Y~+;oep1Tb?v)Q%VS!=zn-^Q8;Lf6&R**^ z!xQ#pbaLd|bz?0@)e&S+U%dp~qg}H>Tq)W8z#shr!$V3_LB>8>-}FXK05a2om{U*W=a?G zmJF5(cw>(cjahp9XwNSJ+UT<#vP(uf9?|2d7 zP;TfVFU%-`r5>#G+fizsO(%hEp)ukOOxb|LYk&cxsWA^c*Yw2QGrS9- zHi*xu=GHmBW(*R%GpZnvNK|cJ8}zVVeZqGHV!iX{-{uWbfpSffM~Us5<8L+xoe}h! z_%ghg{OR#!CZ+*NrNmutcHS;jnTzP@xg4$yz&?_ki(dZSe+uNBGg%vkE!!;Nj+hFJ z`DX6IWYomlzY^TjvQWCE_)2sT?pqJ>H5g*M0<6%W)*t2${NZd>pmJ=Kj0nS4o)Ksx z-2_H=epH5#28@mZU1$Kob@B7i-bu9xrBt%;+XsZ9;rQ*g#MxbnWHF%T(KU>d!PuF6 zGyQnUp|++@f0)9yqF^kBb3%I+XiD!D^z{*cKP3%deYSjX7=5wRf|!ecgeG(%lUqugo6XLu8+CJ65cejJ9k~Jr zJ)3kTw_^rpzg^9-Z!iSy!59|}!g8X7$8lcAjKr#0Sh6QCeU?{^vHViO&3#+}+>+R& zsndo~l>nQAazpOJTN)gu*h`M^-kEkz^Vh^Be@`3>L|@^);vTS)T_INJALtBHt``&sq(n>dYkk61cwfQc zf3mG`CUy(pB+4Pzt!%dVsfFU3BCHYF>3JP5mhnw7Q~svz{{$o#+vxTm3KR7kJE_zC z6X-Q1I!`N50IcKd(A(<+rh(u?OE8~~a{F4&?!kq{ujbv(g(MllBI?ll=DU5ajQVzW zEg*e{ODCt5#|vy8SxPg_A+^VZ_7RhKf8?A8R*%XkoWH!*iN{QEoGaDo7@ThPJ5bt+i5XuRgjrs2uHHnN z{XIgRdF7NuuMFRR$Z6)`B~P52l{*LIf7y)o z<_A~5c{U{cl}>Ju^$@4to4vEEr!o4dj_ey`~FrHm3*xOIHSmn=@$l`PXa? zEycN=Lc}r~&G36ho%_1Pi1ycn1*Uq$Hsd)*@`A>Y2V;Z*?aPSVHp2Ch0it$CjRodd zu)qBWy;f`6f+JdQ&1zb@Z`BF(f8tJph|!eH+6~fY8=jmXjsNLsc}2DVjk_ag!vXl1 zJx(;ies9u4^htQ$0;Q-)MR0*9ySE=_VU?=F~23 z53i0Txuw#s9Ui7>moZ9Ubu$$RR-eO@z;Ed@zKvlIZx0cd(<6Ude?*r2D&K)BkuLFL z_#NQe*5~~kC}@NGkZ9GdiiJFKDoUqv1=6Vk@x`bf{Qlw|U6ftr9Jh;MfOHf*!+vxD zVQC$L`>W(Ll-qmB80}K3O{>LRzRKkF>Facu2~DC6aA_C{eulZCuqC_#F4tyIW;$e! zU9eB?z_F;XKnnpee~vCQro_!(t*E^QBOttTxH$$=EQ3MCTc2#64_xWQ*KrJih;ejq}*VuLD!~9dy2h5JuHsvDXJyV{i z@g21G{1;Bzf0je$Y6wDLU0acY__aJkbhK!(Co<{3+v8AK#gLn4F6b|^piJ7ekv6rc z?f3DKmK9y#pTN@YW)XdB4cwO>QhvTL`8Sx^gmKZ86^nb-K~ei!uK(pjxyA>>cH|npDwc*R-uedmk zW>nHRcHnZ)LCd;N9priK!Wkb<3m+Ur9))uhPe152y7aEdURr}T+n1ocpOY;B>&WSi zGAUjgYuzY&>pgU=962V9o-y%Iown+e4));&GuK!_niQQl!`MVI}qCq}MUC+K#y zFx*dMr&Ma^_=-^U98>A95Mb6c)8Z{%Fpv8l?hpqrpJ2z=4Rf8QyZCjbCGH5>7NA7xPZTA7v=kjY-f7)^=cEP-TUUS%`T(lbagAh#f*Wq)y zO|d@dp%W`0anR%z7`C%WKHlX7eID)v2C>kx+Jq(#_CcwQ-HL{h^Zp%sFl3mED#|t4 zaJ>^Cq_J4^UOnwNRT#oU7rU!bUxD_Vj-)zNiAc@AzPe>rJ!&>3E3>SCVi1RP7~zB$?&G>VF>xaqLS&4 zi~5Pg;Q!f4R&4sVo`Ym-uW-*`i&UHFe|EW=nIjE$h2g2v?1B~nqkursXl3a;cQSFb zYCq%&VJh$_`&3ElRVYL#hs0U2Dy}rUO4BFi&FfTxAj83L51{;<{uGabnG{8vG&ik{ z62^tH{B_FFh=UQ9Xtij1%cz37po*Qt0|bBRw=iPUzz@OiTS8C#7f^u1US?mXf8z#z zA3oGPSJ=E^(tju8%=8R8*TDeFtOb2P4GIuEIIeo5f{nLh1!P|zd~cy7V|b%w^gGwvx?cHz z)ta+u<;)9fI~;v4UaN65g}08pf2;qhRk|6=iUg9fI0-?WpvvOcxto@gBTh)amdg46DvS#WG)%BJc*wFENN*u()Bpg{&G6cKeX2$dHNFf9XC`*Ozgc zKcLKXinXlG0Nl+9%amr?%?Dp;r!xs>NO0&4_R$RcH+zb zzKUvB2C#E2(;PAKP+gD~fA1mkaj}xh%G4n)QZGh*bkCSQD>wtz+dy)SHB0? zdbMK1f1Qnz%@5=O!~Syy*03c1iO`JwfW$?SxLan~^eZ!4;fRPF z_&1#|!$)pAbhm|GS}Z=jMh38nZ6{Yz7G(sUDQXt&hDLUOn=3BOe+8rMLq%~$UaeWY zk&B!h0HbHpjIMyQOhpzIxyya~Id-?k>;^}xj;(oT(lFFvShLHA+8c=iWWUs!(3`d)!n_Cn?l|JpO z{*@KM;S^5zU}Rtnf7wtmBxyFvaImmd6(qbY8G@H850sORkC(@M=kOL3-e8CP1*~kupJH zZKQ~2v~+rE^u*?SKzm8ZbQ?P5a26W1KuEI#(xXr#t5Yv|<2rwgY|>a59!%~Cnn#H> zb0o7-+qNTR*(Oo>st*mLm9*b%70b;yB0N0KO%_(4YlbQR8AogNL(s+sZ@BWYlSGht zyd&nwLrR#{e}jURgSte}CS4j4Pb4O8WZD_c7UKf!%xwmSKa%|6uXhCI^Dg+cv@|&% zOmQ)E!C}zTa$G=dSaD+aag(|C*)%_@K*r+Y2FCI~X-wkD8+1ut`(D_!D@Z-V01>4O&f5XvwTQ4&{rNZa|G!|06Twms(e&_3r)M-j#{8hCx9v&A=*xp+~Mw3;J&DfkQ zY|68NW>+U(B*gz~DJ}|Pg*EG_o_%oJ4x<_o?sk;jk=_+J!>!|3cy{dOGKExOYZyD^7n4_YxY)l4t1Aeb+ z(OKBg4aw*v-_3+E#GVp~P3g(J&nk0s`+un?ONI%hn$~q{!L~b~cT;V_Y7er`dyceS zf50sXo7wLK6D{##o^RVmOnptAJc7uGXi#sHnB&zlKspM-d~^CqLCpYHqr3pfLV6%K z`$k`}_0^IrHos^tfOXJ;%ug8{mdj{|M-HgNa<{dhGElDGsUyK4h-M&VI6a+d!G(6L z#Qu{Z8&SdRz@5GHizRCch!EhDI>^mle~+9~8k0?Inr}qtnZSLy%x1G_SLyIEep?!W ztGOh_D&8}eoyA5hfZWEiKhu0~7t5vD+G5Ca!Oa+b_D*4}xfUrCB;=+;hcgWh&<1=t?9zWdqAVA9u%L@8LE93e}cNd zHMsld^1$zTnyGc_;QcL&j{UeU)CnaeG{beG&?dV3tiUj0t;#6b5HXB4?}wwbmwNFA z+{7pEhJ)wiMjsHkY_@x{*a2RGxaBP6z+H`Kbg{v$=>WsXjCCy=XqMa$V~dXXe|<#Y zo~-XVqGn_{B(zf@vZ0U!Ce)0?R9-B15#5shOJ9ek9E`V+NB4+uHvAaU zbe!16^wmXD$(m|I91PUz<)?iZeEpv~^dFcI$t!pBeY7F6gd^x0DA40XLuPN$Ua-+w z>f7~UlFv+U`rpi6j#;G?f1bA{L$t0kIFG1GcI=*-pd#l>w)x62J)u%prP>BSg6~*s zvO1;|p7#;{2Bbj7&<b2--&I6v{P2m?;OZWuj1u4OcG)o1>8)+lF7h0 zT!8GkqX|2WZ2%7ZFxTuiw? zrp{IT_aI)9f>-AqC9xqRX1E0bf$deu5ok`)sJG>=lX5Vg<70<3WLZwXSfCWqGSuE<-;rQ2q$&q<^|WPxbX|h7s#3%f2uLJc$>r&+1Q$oHRR)# z-&gkjy#)~$?#WZ%Htk$GXJ6HqF=1j=bMq8?g9!LCm{JO8hJ|B`vkPWQ(RQS;7b^UF zUq@|%VK`0tjU=fSH~D~JZqccV=Bp~1n>#Hq~$j6WL~1(zG?Yo zPhDxfALD+ke+;8PB)`jCeVz7(RbA~UMt1Vu6PkFZ5Fe{s{EC0|Aa zCQ0(B+1imR>yp`0Rwuy1^MqAx!7&oS@XSJT>CF;np;(T9z!LI&te{a(;F^O9j1*AZjV!^`z@&Vm6 zHfW6Z5@7K=`?$Q%l%>B{bm%1C5^C-t>B4o8$w~oPq{;_vt(F~Xr@%z6d;DjcwxetE zh%NNS6_0@(1$3MI;e^ow;!>r5o1ekAW6HYAJ5<)3-`p<>0r|h&8JqWPw!OMTK@|VP zWo#nueZmK$zO z(v~f0)9@EtkOQ=MZ@wnF${tYxzw6(|MY9lO^i~{ z258qkfCYx|%Gl@vUbq&^ZH{&hIzMRe*>8|iajy1U*vbN~HaZ9OB9Gp@oAaU6!k6Xz z;0v^tX*93(UvjgxQ^82U4;IpJ0^Ax;8oloR76GSXvYdR$N@EX~ ze=kiXc$=^O3{AdyBIyqI`ZC%c61Y&gJx%9}OT6Kj8m&Fl$!II)oK5ONV{ZI)(mQ7l zm$HBJKNmraA*~*Fg)RKV=)U_RRHrh{&h(2Ed5oX3#y27xzj2jS{r|wtOWnS;w;nrF z>o#&@QCpsmtd=8MLVfdo%QBKap?X`Pf4U_~yyy{+w_B+`aw-zW36tpQOTTW2=o@)w zai(5#dh3FQGy?~3!V0@^gL$M_+yn>SXW}++DM?ll=GEW0Ld)0sft6H*5#2R_r8l(e>xDm zNTzGB^9CQ0Uy_1s)~hBZIMUljQzRy(q&?eru4qg3cQW_pm#f)-2j6or6;4iejvVQf z7uGx0jRAsZyu0XMH5HUyMMtgu_uVd1$koAMM7KcVF{TvUhllp}mS0w3pyVHKpj6CU z(j`;<05Aw%%cb<8Xd5Qyw&#)(f5TZ7$W=&1&fh(d`9V`B{mTnr_K8vm%nQ?)lVK3; zNR!rk@j<=;Ub7ITQJ zf>lW&dIRSzX03!Nvgwr7e~ehWy(w^p1?DiBO~ELyVo~BE<_(D+Vxj3Ne%LlXKM*0% z9kp6z8&GCkZnSJI0ul>XWltE#`;1yf23-yqlZ@S8m6-n9y=B!zSHV+ti4^{UOsO>6 zh$B5T`{3f`63VVjg4WoT#x%1cGp*J!$&-k*&w5usZ;F~M2iy7NfA&`MPU`@2NYbcE zwJVs3_s}_Z;}HrdEP07V*@A6^c=}|)a$XZjQ-c)}4H%!1Qj2>cl_ZBcrW9r0seI8K z>Z9cZWTFLtM`gPU4LgWLs39Ku`CtH1h}IYzSPKz{Eb~cpLL23xINT?=5VK+dwMCJp zLK>kut^iEgyl%2!qc2+qS}TpZv+*MQS#g`s z{o_J0@)Ea>x2`u>;GNQWsV)2*GIs0#lL{uSE$AsZAX#6d6$)i;WOH2tg4yKiV@ppGytFDEc3D<>O=AV5<_Lz$Cxo?UPTtbD666kkOez} zUCiwPYHk+x5KDj(#1ic23T6UWL0tg$e8S#2GqgafAJrdxdX)B>;L8bH$xs~{>KLiN9#Y%ar_NI zTxB4hV2~OF_Qz@~bNfFQ{e|m-|GpPxFbLx2@OMA>j~{<-6a;m&_xkT6|BUh1f$Wls zTJQA~SpHprzsk~%mQWDH(Hfu$`{Sj#3+P|qud=vXFWLn^ZgSU{|`%266)#0%E>PPVCClG1aR`a;{^zC^ZETRxt4A&E?`I4Uxxpg z<)8eoMFN98!Il^+i%?6U5ZkoounK?aqUlOxmgz||ZI(q&_@#-ib3=T{fDOoW?~MFP zi#*>0i*o9Ng~-&#!;5Zn1LYE;5Qa{hvgIA zv`uK?4-2vT?G=RZ{NOFD^EYIsHiZ=*oc6-?udIJ_W9n{NICi_vdSoitf5|W(?33+> zM+y{2T>ZxTSO$4BLAN@uD>ygBvq$d~bK&UU{oM6aUkeS-ktXtnbjEuD@66CvBQ6r5 zQqUClC-d$`6)M+-o#jq+YY@|x%*{*GJG;(}piO4705Mm+s%NbPXB|69=N=Y(89c7(}H6<3+?MM4+R;$-lZv*A>4-!5* zz0y@}#)OS+9^dTdaE@K)qid9@yWZsc%%cK8gy!%Ydh^U5hb@2@e+`&d4V8s;!{ZYshp_1s(4S6HbFHw_gYWDl|>s{_R#ENdi^6p2;T2};X?A2a3_rv(yT6h(r@fj*ae_C=|l2DN*e%>;3cfa5S zdVCjQcKNVzi7Pl@YPq<7s37CX5Ei!zaaXN78KJoI96!3pfnL7app&ZXp0BvXPe`8l zPJyl%LgYKE1iyFs>5H6(ythf7yE!Q{>e4PjIQ(NsEp|Lif9=s!n5;T$C9icN$I4wiJ~C-vtLF?g0{&!T1l@X%azSsiK2BnP=2NMzo$qce*@st%SF#v;wQa+95k|&8!jmy zXzxrxH>@&+yYQ`hL-vN&`0Y7KL!+wlK6i8qs_6H*Go?rav$%lD9_kVOxSU!O`yPGc z6WbPYya8lXr7abb*JvFHj8B$eAeFU!2#CG@L)WBZeGMI{> z1|yiZUGIDL>gAA93VhKMRL7EYm1T{COEo?p-m3!bhfwa03D;20)CG8nb|*@Xh`n)& zfJ7!NekGBV8`Q=q3g(Y$#+mODQT{&k3gr7VkQ361uiH?-{ZXhE-8zMRq~%M&)<}k_ ze-0w5956|>%{I5bKXQ#l)XM1k_R5RQa&5>4sBoAUdXjM@Zgw1`kwNZhC6v@R-*`rV zv@rZxzr^-=#LX%>Q|W@PcUrxwxy88uNdggMY)H3_ER;9*n`Ya_PVRS6+QD-;-QFHpPR-4%}@@|2LY)oGD{QBcMVm9iubu-R4 zU(nsAB~SA^&4z;4_IGD~w^2CG0#!-5S|h&vDi}WG)|1)g)#~HbtmWxa7-6yt^ZTXK z9p-dfsxlX^hnW<(H=psxdxLH~e}etdnAX>>yIZ@=)6WmAf11W@)Na?$n{et*u$^abp>}NjF%JTyv3| zIE?*kf+s4ZM&X=cVQefRQP6-3=Y$In>P41_(RLUKZK%tzR^@@9p%=LRMJMx? zd?XGw)~uFOGA3?%gcqhbf8cjpGFOj(FQX)n_|iDU&mWm<)W^CszC2{60%BWla#yH= z|Lkv1t{rRuU&8}}p0Y$f)Q3ohR|Q{q4KjV(pA1y+qotFjb?~*LmzEhf8#;{R^0Y{C zdw+0bUMw`PaL>NOPM2DHcj7}gudDh_)|`M?2QJ})hgFi9tVq!qe~7H(1sDEmGPB`a zXP)r~4YY){Yf!0+=w!y@H;<_OhLrJj6}? zu#L6^o>R?-4qoM%e}gh$~JU9P%kIyl)gIl zAIr=25vV|z+ihU(N=Gh{6i;q7%i%{9_f2=5Rvz+&aYpnQ)8TuM) z_XM7kf-$#0WMiB>?SpEZ(Cn{Of(!fi;C?3B*6 z`JIf$vT7D!e?cGiYjLSmBZU*gi@hVeS8*PJi{~%MCk=$Ig*{!IwdB;KI*v8>5_HL1 z6D?~PcyNiT=KW3~{2p|@qR~G*QzDo?qE2VASWX*UG!Shnwl)C{GsXap z&)wrQfA+ypx|?Dx`Sf-kE`ID!;r+_xq@QMc6^fc1u9I4q9Qh&qHqfGaykYM6XsUyk zo>h&}D^2!Xku-zou#33tdCE_yDA^}~0j?iFQpxt2wy$f{%?qALjtzhiVl(hEpeH?D zQBY|}BZZkop9?8blK@czDMZjjV3#ic|>fAn^GV*1Bx;_n{X>8i*1B4%vgnK+$d zh*gR(Ew<`BN@*pI3HbMBC(=}mAGL>&Ayt_e>2H?Ef3&`mEdd&o3gHMRkzUCI>%fB( zKJD|=VGB#43zybP3*)8{a9jr%1QRYD3#Fp*{XG=QZL?mnuZ z=L2gZSI^h(ux}H2wS<=LM^0&1+HNN|1~DljJgDCfD_7Iw)!M_PmgzTdKg-^Ie*8br zno2Q>M!R2P^0sK949uEZ;nsD(@Hxwt+$Q$JV|{t@Y?&OY*$;_RkuVTGr6G0Pe;wx~ zKagnH=AD7nP^74n#z!;VwqkTit~~Zx5i?Gq^uS;2@9!8Xa303*_2PcO-9~ZE;NqZS z7%G71C@26#Qz1!7mc&T8dYU@L3V9 zx?s6xD+hr-u|gge8H2t-Gxbpwe_v10%0l4FooxjTU6C!%RC9VYf;O7i^$l}FcwYLx zJE|(b#rHHcO0E|o7b|)ZD1Tk|><7v21+0>tZ$uS+d+bN`p1v~Q4759L16Y`hZK9i< zrd-)ZOcLeR2h$h5!%?*^l%@h}95fPQedTF>YvwA>34h_~mv;{ej4Sl{f1)yK4V!Ht z8LKlG7!ZA(n;6HyF{md=!2?=noKR!@Fo#3v$=}*eb@<@x!q!gO+0D_eMWKUx;4LJceuO4u9GZPoU5G~akfLhNH^I|PuMGqgH)>B?F_V9# z7EC&BlSefaUCr48nk3{N(@F{s% zt0q)j-vWqA67^@}PGw?V?o(O@+hTUtjh&4^gh2D3)89S3G1uo1x<-H*e_A~{1?$srJgD(x zyvi7xw<^E`w(uEsnd)`%V~%eB#rbWnw514bT!oneE*Gx_qKm|H*Ty=lb`LR_@Z?d& zhG;{M%ki*#wf~-v+>#7ynG`+DSTojnj1#kM<%8oEW@3WEoV3KNQ9@i2Qk{3lHC-jL zZ!0x^4<6|X?B1#ve>g{=iJpH+qd%Vb;B`82a6%*lsU@!^aQ%Q)+idG(_Ja?V{~s@L@o_e-O8z! z=!z=rqT&z(>UryH)7xcP$}*~6hMs+tKb3hviO(*R%o4^{e=#XjQ)la%X(n`N#wj6G ztW4aL88*+@vguim;&h9Bvw9nfh_P)E&T&)JQTiB!Y;V8ixz+oyzuFTsIHIROrvD*p zc=dyTM9FtCmAeGBNmjX^0nYxNpW`Zd{t8K;hlI7fy7aHIo;~;X9=BX^x6=Rn?+yrb z{lJ3gN;{lUe|2Tk^wN}4OZn(b{*9y7G)FgNy4g0rzsLqblrkjSeOhr+PX0H#f@vB9 z-QKQ0($rV4)lSa?jlOG)IIV|Z3Sh<1(s{q`I#~o)An?ebW+k z??}Y_HrBPsRWWX48F9|q&G^Obt6M+W1_RwweubZqe~(w*`xA1Y?D6&T)zfFCA1Hh; zcYYsz{Kubn#Mwk`=gSj$zef*U$Ds5to=k_p$JQU@*CoQ|zIq;0mIy6ch)R>P%+FPN zbpb4;2v+iKY#tCOJjO=dct?%1qce(2{g49v?TmkwkewMz1o3}iG+k^BMzuolaA7p^ z-Foa{f9k@!kXyx`qHr2jq;T+b^I><3?2@6y?v6LPpgqk&b`r#jS z*}2hs6Wz}WmxL>2T&M?z(t-w~ggwIp{8vxGe+zdsJGDrDTn=&B2eO+=O7>efb>E8C zyg$+izLPLH+HjZ@k5C5DZ&RmDfsauk7no+%R9M)(C*FKSsqw6$aO@m}28e6Gr>%JA z&U4W%Ty~9R@~}j~wf%ET-h!Vty@8q+% z;1NV23%n^?me*kiWX^OlI?wzeeTR`z8N1de&4AMtx?Jn-< zdN2XQAaV5s4AE6kiQ~2|1E{NJU$;q9NlbTm@=^{#P1O3g=oNXS#kfQGJSI!tTVTb6V5n^=MS%z{6oFH~(c$UT^-41@>f4ZB( zpXu|5&(v!O4v?+X%0Z#-cA9p~;VoyM@#UZPef^+m`{qZcS%cGNo2dONV_m+%*RZnd zj2riWnO~S=hS+ewkG`xY29H(-WMmBobx9)JC zu04e>$mM*GX0L?kt5o=;4EM8{e<|@hn+p{{9D0*2xinKECkUjHa_OISVQu)@Py|3z z>m#o|;v;CJtC96#1n;4A!_SaMD?&!fTe+7d)3fHzC z3qLkundHmrB#aRU%A3H#=_Z?xo=kCA>Z=K;h(c|ci-%hz#mtUs$UweS^tm7f_Y(2@ zETaG(z4gLh`GpP17`2FpL~m`&*}Kk!7(VateVZCY>Q~~;Dw@|E7D=LWE6cw)<|*xT zlz~;${CQmI-;s3ayL9f%e|}M+sWf1SBv&&mBMH}$>v`%WlE{wF@n={=u-E8}PQ9@U zD)_4XD6T<&qmNOprx!)-HJ($ba@IP$p!mACttRooa(h-aT|07ee~G-YvMgqANhB0~ z?nMO8D}p#l>LbfoF;D1dT5}^VL4I|3A6BU8T{g{z&uvnqv8+Ige|zaXYic435KHmL zWBkUbEfV7XO0*X>$pq>!VbS;jYiLVj?vL8sG~I|SI>G)xl^u!UR&y?cG`&0YcMFEp z9$zc7w4f7ud}ZraWZRQodp=B>26v~uDOKTVa5vQN5?@8DX0dujy02;`?vDoZ8Fzq< z%mx9;O3fkMzj>lIe$WH49d| z`e(cu?8WV0h9(E_4~9iWp1S>o^D<@X#64%eT!i$gIJwdSJ#=;T#Wd&tb_$>{sna;(~VWEeg{~fx@)uB_Rr{1rnb0+RGv^jFF43oo?gP@ZMD>jV=A^C)0VW6XRooW1< z%>6*T@+vtw1MDE!J2ZzMs)A3%qv}!VCAYYbM^C_mzo#+BJB(|3j)ty>D$VB~Utb-* ze-M2w@nF*TZAS5hVdWC|S}FMu-(&^MgS%50`wl;3^QDY1r{$?R zrL1)yd&}6}uWluPCgGf{CciSsfkLeIlHfbNR&|TwrRc*L=Zt$xe{Sq$RB%<&be^PRZl-h9y(={k#q*Ta2{JJtBClT@Q=e+su zsHuyCTIEFh&*Lf_>@Z7@uQFVoW$*z}96JTv!byO7Gwx>aI z2muvg7(g0khHe=;hE5U5Atf9_N`|hXM>?cI5fG#Vh7OTXIz*8UY3Y1?>$~5(cfI@m zJ7=%G&-rKXwb!oS{z7D%kyP-|NF`LIvWCRIf`9*c>>!VOK-22HfwLZJ)^zO6k(NDk zm)#18cS7#EQl7fYN+qhu&|EOuz?rdmMu~=dpAGGql$dP+>Sgt4yvU#&xIV8d;%Q@b zk)-g)t)hjXEZ4r#6TNHG%yZ~+2*3C^;p&j1pzC*Y);F;-etc}80JT3AI`=$`ku(Y$OIY( zJMj?OM!A!l4Hw-kGvAk2o)d^W_AQC$gJWt8=OO|}!s349o-j9ply=Vhy=W|n0cgYX z)%JO*zHKwf>E8^xRPO>kDc?NRemKs7(>1WpfF+i!Is1nB#Gr^8H@)jqsMhP25g|Z0 zncTSDrtVy8;y0P1+yjlKBdcGx=!=sxB$LZNvmeYmFd6g0NL5B;dGCfD@H23Suu zUD)egcurG;N+*w}gNllNMB~|ma;S5M1mXePk_xGxX@uCG0cAZK$F@nbKIY3QveYb;JI>C1V624p zR)u@zWb9KoBAzp@!;C*CV8%nK(N;$E!Jk8&`_Y+ucTkc3yD>!TBNlVo?^XYVORRsy z-HdTx;ezDQYS@HDbC7wsz9@bRiYg0z&@Ur>yLV^za0VN&N3>WuOoQ zj4S$g8GieTnaB5fn!u^5b{ptJ+LP}Yn)U(Fv<+%m)jxXUIH_VZ&78bma7e~q{Qla2 zgU~lNt3&1vPlM!d$6~L%t4)GlYk~)AE#po5L1dX~!KeXt^;sdubVamc^ei3*0_Moj z%P*$W2kNGBGwj>&qYoN$`H1U{Su{Ohm4Uy9$}N! zGFGrkN|JAZ_h4w%rX<|*1lM}bGd1iLe+FTyob3Xz=iBL_unyi;U^XBk zzdTtITACl;=cCi{*p|45JpFbr^dWzO_iu_nA%~&t>nwS9n#xGRWe#cdARi|2Iv)%3 zqf#uHNw`!gT56STf)12tjc{;?I;jChj`Y%Flvj^e3vGSW=QiTWtQb4^gUrIp!Xoy< zaKNui2!msl8%Q)O@Ch7Vf@&Px8%P1vE%6^lgD_m**gBgS?*~1gC$TWmCp`@UH*qKh zF)(`KrWm%vap4r7g>|MrL=)o{g{z?Be@G_BtHfda^){6NHc3n_ zxM0J2_p>s@l&TkK5t=K&xEE^g81mopV+zyejpz(%5bs@8< zOp`cN?kVo$j3fAVAu&ZPxFA()m|}2Z%M%B#3?L4SkH$%BbF$nx_6_*j&QsDFbs2y*V+*52g6Ohk7wNQBe9Xsr5WV zH4F4%%yx*AlEaD-|ZFCAM3budWgu+iP|9z3m z^qi<9K3uX2p+=xt(l8@Kjrv+#2E~~tD@s*ZvGd+x!tiMavTz^jSUwo)at`kegwZk? zu_?ARG9=O?>!*%ivcKsU*s0yOy|A1q>9xZ+FSjs5oG`xy3G9Bi4>v{60B*Jtw9_%+ zXcCcO23bkbmW>m+2BX9##mxf2kaRYgu+CUZ$#F*kgb&w~B2d}sOqIno!F2SdL(sI% zP8N>$TG9Jyi9IQC+e_5zIReFYb zJ+29v)440jp@|Bg@Z+aDml~ukLWZl<@GEdhgVOH4dwhHm#q|9p#gffJ5{Ld=-qx_9 zu6QwXl1(q4NmTYLlc>+P7^m{lcnbkmLr%$lNvc>6LcM{~_okUfjfme(;#iy)Et1VuXlx)E~+SSlp`?~9Fd)r0# zcwpGa)67#DU!cd!zNXOoe7hOh;_>55&$C?qW(5_awD2O>gLy5f&zCxbhT5N!X8tbg z%IUn>(}T{^()%x#3<@`jDCSdAwK7_FjZ9<0dm^-sMcqv$kFjz=syN?R7xgS z8o~~!;`s1FHbhuvUhbnXtpik7UKql8NOpp^1C5_KI2dDvasz z@f30m!`0azZf-=+aYblt2WG* zigzPwWM29_mn<=aD1l7^6v;5YHdU!5o0G-G_;`r0=bde1u z$8Td*Vyv-yk3xOYAp~gd0e4rVcF_2YSI-*%v%{KC8-hpT{In2w$W}vvZ;p`sDB_b! zz@DQ&f~O`1x}&9k@;K}hQ#Ppln-R{1jZV9IE3?VynNhZSQYACxJ*R&2Lg}iMx@tQo z4sV4q=p3$SP0db{bE!Ccba-=Bhg~_!J7qd=n64MI(_2!$zl@5Tj5XxJDB;ZvJsLa^ zVb_SbPz5A^EfB%R0TRm

Cx481wMrMV&t|o#L!_x*bdu4Dd`?o^jS{TLB z8T|)EBbj$^(@JnQ%**0CwHt;5y%RdwWONT|i*!d*a`CZ2ma^doi4KlUo3%YfpHu_2 zX;WM6qr!-gum|8eg&5o1PTm8QNTz27@Ug?W4+v0hK&i@ss41r6fqP)qtw}?)CVB$A zI_5Q8{%|sAh}1;bu|harkD1dP+^-&*Iy8`)keg6$TFq3gl18@q-$GdO^;>A0yQt*^{evNp#^QKekG9>Mih8x_;s3r_X!rr%kJ|$9oBFdUMM^3bXLSsm!qD_l0AE{&I zE^1MSchnzNjrK>)UWNM%9-OPCzI;(^1n+87Q!$kjWV{i(mXZr4t1Vw9%+W;&ci(kq zPf!h5U`RXNUAW=RE|SYpHvfrj-bq#P8NBTioOOHOtx7BZQ#=X2L1)QYit=3#5afylMC1oP1BBUkIJLadxtf|h00*jP$$uuE-;SWnP| zh$h=gtmFFrPhs`9L+~-zJabtbHNa1sG%NM#!|xb@1w2L9J7{0_+Bt$~>>F*W;lT5S z<-JpxId?*OsA7F#N>Trs1BY*r&BUCU$o_kWMPR1*)7bMX)bXv`wVN=dakHS3Dn$R> zBkej85Y#x2Da-!gvF*fsxN+w+;2A;w{%{D7RV4PfNRtylMm9-qx6y_56i#j(1--3S zbKHNqeJ;;)csAW!)`U5{n%8^q{y|{i+jN$nGSC($@+wi5Kv|-%R4l8JR0WU#2QI-M ztA$?)2R?Pw!P8l??S7E{Sh*!chMp}<;2nC~`ON72bd$YYWXfi+>^Q1*CIw{TjdM1P zNhG&EtWY`^lr=pS|L-^iA}pH5ElC)cwkb)dM6QE$b3)j70(G8Sxuz*f5vHb5N)tw; zeU>I<=M{oL#2`Y#5MD5p6Ab3$CKZIc*(zFjB7j_ql29;23@r2yOB#a=p^%}wt(^hF zgb!$hKzca3xdMd*Ai@w438<(DKU6@JA0i+O7J!HXxo(+&nvUKG;O!$Kf{F-hM=Muu zptHM`r-KK=>NflRx45VPQ~<)AHW)*2kLUkJOi<`Q0TV=2?4Jf^pcoho{5xI>H-u}? zfdSYx-og>MSL49o4_w>>)r*{H&6@8 z5sLSLE1xE)g}{IgNf^r-g_l0s&f$ImR{s`4#HwM5ryku-nixK)69NNS=Fx=^!F6z( zK(dwjqnW*=01Zm6=eQtPFzQe>h5-Myx4FBS1%fL|!?ZR}rEoBHfbZt|r5o_Zs0yC7 z!qB`_kDs9%02cl@OV&7WAULE!M%ArIt(r!#!WQRRMk?1>*Y~{6FCnL`FFxG96Lvv; zcGLJSir+M7IHgU-JIl^l_f3|<_oT?mO)t1d$tyselI55SImsb<4b|RNpC?_=`f$+A zA0w)o=s88rE&CYCGM6rw^GdKpxTTca&XEbJg;Nen?k9-W&D3wls}Os%`C^zrrXyo4 zN#y<3nd!UdtBmhYsE>%PyMJl!2R&odsMKWd1XqM(|D;O_5~U=z87; zvA>b=on3ZLCw7Z1GN_-)%aw=iNqM$NL$Ras{Dh@tzhN1a?dlBkp$yg$EJ>o$}ph##=@ftG0QT7Mb4em>b3DxqMe zRxGiDlt0bAz9UNCm0oM$1fUh958!jv5pm7p^6-^+zp)OBD@MUzhj!nUkl^!Cx|8z! z!@Y-m&O;tkTlEX>Hm23KH7=knmy!DkHrD=&P&m{qE{kt;4Gt{LYhr($D-v=k1){=1^+$Cr@#N$IIx7gIwTt2dzP76pTFzs_`9*J+3%y7 zed~6M*ZXNbqBmt~QhD3e3uMo-s+t)vHxBT*t>mdA%uMY7BG4nig@rV8ZY{0+Zd3mc zCl2e?q_E`%|Bo^+y+hV-9^Bx(e+{txx7nsui4oGH{#JhzJ%k;ppqi^K!Ut#u2110z z%}E9I9sLl09Z3a^fo2e(5D;=p)N^z5yd{d<<{b3?lCX2Ty@~$orU*2XhQX92U=reD zib~2bClTebEhe$x7!ouQW%A#Od;D55P|NlI02C4z{g>f!j5cVo$OHQJ zbG}PyLM1Y7FFDhhV&PhkW)(3mP~q3AhX%^CVtLhyfRN1Gm+deZ0WPd@j||Ae{!2=j z<;u?6P4E`_PTIHEM>(YJWyIkb;Ylj{uMP&k5q2`!@ewqL5J1F#2vTzcGPRDPf!s~A z^4i8nI5MBoa-WrmC7^=c4iA@2qC#c;{04kfgKlB44WbhX`mI}3UKP@*GT2zxX@(1@ zM=Di{fQ(g|GI28!31<@VcUc)^ACny90e(jR_%V)ukdL#PM-r1yE*tYhYUCA%4r$%q zL!P|*UwU?`He1!pLbR$Q`)137mCGWvt*N{{txUHzib{+e0RAYWQiKqnJgujE*7N|Y zxl1IWY*^G)TLCt3o!iz6zNa|1?l>Lk1myv?v(EC4mXMlNJ~izC2#B+qy(5C$vDac?)B3{8w2e-4uMU)kb^>X=x6*Bt9lbI94-INCV6+5S=(>%TGs^sBRz zVqviUw1bF)qnXv=2+3uQ$wAkKP6m$PCouRgQU8@ej)(l4{@6~K1H4}kSomj8Z2x2n zw%-TM_R|&$Mg~T|yB^!WGQ{zi*_c?NlZIvgX$mk*10x3qGi#HdlCl4jIoOzf8zuWs zbKJ7AvU0Ni;U*5hIz-q6`gfC!{Wsx$T0~6G+VPj0u>T9)-+?1Wqd?9qYUX%b>%^>u z9}C9Ehrx^?Wn^vQXiCn>!X5pw8W4b_X`0apxW%4GFmQ{! zGGF$%FW$z+jigQLOE1B-lAo5`ZX#~nsYXrU+x zg|~y`65Nl%8!6lJF&TSin0S~!C@!(da0N-7#frI+Vbfn9>u%&Z`X+d^gsp&)$7LIL zm{~A2LQmX2BKI==3%-GZjzSx0BTrwO3RpxV^8hLvGF9aZ%^gd z%{wlfX%jg0=v5cHr*biuc!#T~cnjKU|aj!*9k1C>c)lv@qozJlW3 z^r6FPSq#kM>t4ThoTDWhV+e4ROCqc=-AyYcOln4xoT zoLq{RC$UPtR3~R#P~Z)Xc_hEH^r-#(%W9cYBK)h^RpSexpCSvBM=ejgGdX3vyi@2r z+3Wn}vZC0y-f$VaNlTN?sa4lvMEr4Zv^UbT`WcCiXE(y{l>j=DGt23j7-2BqvaxnF zvUYSJ2Qxz(Oc@Mj1tSL=CwqVZ8e)P1My^WJCIUIp=3K+lQB^(yrk%!6>&V(wA!olL>h+5=`ihR2d{C;@d zcVQ5@Xkic~qYgT3dISxJqB0-#$gIe$WUpuKV2gO2fg3sVEk)*|*sCCRlbl(c+|l02 z=*0Vep*{u(;y)2VOG2 zgPW6yi{;O@py@9R$6yu($|Xmz2~jqnHv}RhAc>0{j({@-1S~PD$?BVf0Dt(DxD|{X z4io=*4*|rAMvmlnfU$%{$(famTphtct$^Wfk^d6d9E0=ZSbu;ToCS^mH99D&N)}*R z{o)?I=Uc4xd6R~`kV+)0XlX4QQ(p%7_0Im3+JxHu?ebc)XIiz7(NNIS3Dw=(n>HqQ z`kpZOQBLFb^^EsT)Wk%g1vYABH_ktqP~fug|B&@vx6x9DX{O1&?rjR}d1vloetU1a zKjD}ARs)oypE2h0KP3}wgmyq7qpHg2^#xsWFKc;78G(^nh2(El;D#BgLr8UGeBCww99(ydeDF%j)*fg9}y zI2q5%d^RTTztY^I-2Rq1s7m7gB0YIieUFJ}vDo1&zSnv{?i%yA8~chL(2xaG&m!cs zl$zcA1u0dhoSb=d+Bcz_Kh4hQ0p}xIA;k#EG@)w)OUCcd*kS5#%lTCy<>jpLWE-?( z$63_pk(V$;9rOlL?@rg>Pg^`sf*HzdrC%^l@%aj^&eN3WS#0UAWijKOX@LWE3!V-F z5HC~Ep}(E-hi>!R zjOYA6&G;ME);0h^?vQgJXo3Pc$Kl*3=Q!+)oCAbh2yqqRq~Hf)Zh;?1vw`DiCU6{L z2MEN6_c)Kb;XLZ*q@y3c;0PLyVeIhb7|e=J`UuiT&MakSZGpjj)5hM=$o>$oShSdL zL(JmLx9%XiWdVQ%*b306L3)A zPB0EOCKh%C#oI@b_|B>s5-BIX8gbHkW8S-B7G$ihYr zXJKVx=ivNXsgAXEoHqWF>kw%FQ*A*ffb=)PewT}rn~9T?9moar2Dl(QHwzOS#`+I} z{b|NS=>J172oYZX3E}^ZV8EW7fYHGKAixoVaRTImbNqu~CvX8etoIy7qdz43KMHo_ zVC?LGL9zkBf^je*pq?ELm?g*G$OWA!K^$ostMUV;f3lvWYN$2|~B zrid!3(C>z{SqSFTRK|NWTc+*r3UXRh+GQH)44i)1#H8{Y)SK%W!neyI8qE4hF*YzvErTW+tEjdRQ!l z5J}T7_vbq4hagcq)YpIJ{#+*+$RACAbN}DGU+oYJ4mEs?1%L1Uza@G9?DE`2_Gzfh z$Pm|Yq3ai6`y)^1`jKY;O4C8i1atoXY;Ue3LVjp(=tpt;@Y?YJ|2r1+BWxYpn+?P( z7EoaQdwWAi1$Re1eM_UCCEj1==0&ZIw@{xUZN;O;kjADR0k zQvQFKoBL#kKk{`}7Vzfi&Tdp{D2S-#$vDTt7oE{m&2E*|XWH+iWv5|xcq1)4J(}n5 zMVSV`-^}j8Vl_B%=UFK|>@-2N(fhFrQ?3qLzCsnJg^&&34 zCQV|jgn`pYxn7!zLw0DYh-PS^Q^{{eo_|io%Ee8&vcE7~fY+-2+d<+!9LitaRluH3 zX${qR(-)~8k1DB;pH9E}!KRXjK1D|-OOaZCPtUx_jbyDq;soBqo;*fzVh$T*n-9;SY>?&;Gj4i#bjMLy zH@_DC*2xoaDa>XWJ+x0_cn+e#C~sN0+`Z>qiksqh7ZzDCOGb-qP88NX$Nc>zwh`wJ`PZ?VI7OMMiLU+$aUSi7+Hp;kiY z+4pS}1+i?T#w)TqXX(za29iT;TyBO@pEc8>mhPZFG9SGkxqixQ@e~f+?T!DVXP0ht zi}R*8P>?$JyXNtV>@bUm?e3I{Z9a#M3a(8g@|e@A#NNITl_Qj3k;C?dlZ557aGVKy zH-mt}tyv*jDmF~=A`|vkXw!J|Ys#9ABH_Y^*um}>C_hyO%o&}g{4oE;AJb&#B1$50EnePB@)`Ua9S@S6c!_P|@Iz*=)cK~U zGi72>3J+4kX(l7LohOC2sgK|8e{S7yqu+zaYtQAiSDe{YVX<4Je z)i(vCSpo^CpVKbit`lQ13KN3DVkb-soY1or4dq{@ucweE?e{1!;B&ug$eGyUBj)zy z6OxlKL%lM5wRGA_UjHo0EKETz9VM#V@xcwc%KQ3Q1k`({{q49bMmaK6%#z?mUHVKE zw9^cp*S*}&;4FHoEl&0>(LFu?d0oWe6tz>AoQ7^5CQSJJRdfrsW&V5V&^?r>E*!3P zdfLh-Qd0(YwNm` zmyM79jPTM+EUNEUvclD_TEB&m7bp2@F;|CzS?_8(>6o+JSwy#`;v7l+6e#-smglXR zX@7I$SFelk6ZA!MF^L;P?b+5J-Zs>9k@pO{AzlBx?qvpx`S;!)7R?dH%>?|0^M%V* z8BLrG7(||DdTZau+)A4YCH~~UL{TX=>&&?5b3BL>TH+?RKOK!(|jAtc1 z2v$~X(9mSbRix~2sXW^vuJO8r`J%1Jg_u*S?-<>%i$mF)N-R)3nXb^lB*$*yz1Ovf zwWFe|<5E5k>D=4(3w!h)qw9Ms#JiGL5WO=R>C9(<$7kRt!eMyzf<@r1=5s=;H{v?* ze93ezgB=}%LRC?8Q&X^Mf?huNR>QGZPNlC5?MJgVAiUjJL^4xPQF!%!zGi6T*Qha) ztX{kc`pxYq`%2sTa`Fu9g)FQ98M1;E4l^}dw)3P`@|K+zMMAg}J#sK=U+4ot8YnA% z--HFS3GLBpo+8;5ERs)taB2&M;7RtSz|t3V1ZH7V9erY{c`A!*&k|G!&}io3&!Om| z71acwx>vDWRN5Z#EO+)+m89u$51pb%9ZO~=x&0w}L)((i?K9>87U3j~uCCNdwPA;e z?bA`qXIl*p=dR%-UDD8>xf%&AM7&6u37@o|*pRZ2nLZ~QQygj1=T0$*yUuz&&zTi> zL87WEOpHD2+ua|f zJVJ5XYo8!hazt2)oewFl!EU>eu)!SMFoBU06BJ^=R`B?K19AMSm?@VhhMPyVZgHS{ z_JIgT>hkLZdA$+B%ITI7_Tb>rS)}B~@ckWEn&DcX+gIn(FVGW5%{f747eAIorg2`s z*7@>@zRvTnK5M5oNS$9_eu{RQVlu^t;=-vyBN>ARIX|sSwd5s{NctRD+3#3T${pw)FE{$6qGqtr{4i;r%1!G^W79-CxhPf|o2?k)H-8Ts(l#&sv z3qCb-g;J%9z9W@y6uaYrF~_~rfll}^Vfhlzuj$ga6J4zCjqPo}PIRqY@5~AKPSqkD z-#u$g-CW!n@O^qbuHs-HB^1)5il*v8CHwnA?;+p#El1!!EXMwCIpc3jJIp^b2JWMz z6Tu*(WxG-N&U0fh-!?KcF?A#dbT9hi8&r0jAFTBU+2MwBaYuI`mRyHC)RdgYHtjwd zqSeVO+?GVPp?M?YTH|QpC#BMd*7d2CBny6Xh+W#vvmeb%qiP@}u4ud9eq@SSGUNTp zYicAh(elXRif3`po)VM0y!L8yrxA9IsRkMSY0J*qX{^?GZih|Vsd!FbHeZhoB&1xL zt*tF$V&aAnvL5pSTIelgGyEQp_<0kZl;=bvHcS>aeg8fRIx!NG+M_2=o`h4&KCXV} zINSMcpoo6ZW3>8VjW1R}$e07{L!N}Nbpo%8Oe_OGf4QapXj=lW;QnT+b`GHffs4Nh zc6*&SDiV@|%j!&rMNc;IQ=#UoVM1cTm&LOrG&D5y^pe@t)p^g3LC*5hW2vi?-LF(d zEMOx@NR_V>xa`KJKE$z76DSg>zF3CQHw~4#$R_Yy7l;~4>6xozW1R<&Nli{n7@C-H zE{E^$uC$N25qlyb=>~Upb>-+(d})+*|JDy*D#H{&LShj+ec^Jk-FUq}E_E;`L6*uL zH8q;V=&I95NK-V|q3e@lbpd8(W~wADF>EF>;59$)#mT0akbEP4kxr3>goLyuEP#xJ zwCwMRf`mklxX?%q{+-soFDh_T@=(4D7cHf{OSgYJ!nUC2A3pAA% z6|FC@=$Vk!QBsP~!M7`AukuDl6=v;==*m=nH9tR(!G_)5GJ^0tN8qg(RtDZO>0Rap zX3E$_I-r}_*ACNdM&Bl8W{P#I?t|Z<$fWjm!Grkl@ESxbHm9-Lv*p^%Ce5v_tz%>y{Ufw5=ZNoFWMPORMgaxvjv`8%hXAp3dwST#B9bj(4+Ge``h#s zh`}j|i#IieQ?s$ME-x=ze<`DV|Ju6wPLZ|NtH;IV%eB|S!T3^AQfm3?;=8)K>hcGR z?F@F-zbU3k+1c664p$u9KXvZ?!M?{`pybNSlhe}y_dCrC#6qq}!=6<6oNiCx-RR9T z&~MY<$4^}PK3a2*kZBcKT5{VQ_0@5kjAXo1_~|R3GjP0s=UuZC5d-x>mjF%-mpIL( zC%T(AJ-HrFb_#fZ*L$xWFh8d!C%a!rMu&!m9_(*apzl9D1N@Y=udfeG_q{ot19J-t zUj16XjbW&w`qV|xt6ai^2M@rQi%Uy47KZMWAfXtbAVEmv4PeaC%sTt4U9tlM1CoS7 zjV&z(YM_C+k~1=ziODoFDmsH&?#M`$59T@Wj?2CmNHvOWN1xsB=`XT5Xr|Ax94cAc zUYkSQ6$)a~d0z%*JNUQ2yj!9K>886oPYuGAeI1b>2zYJZCKU1u37JL#;v(7Kh6shu zoH-N9AQWwM1=gZ}$%j zgpl%b@bJW*BL{aH!Rvyo1`4GU`P9KH)zpXxg}~*|*^kM$Mn^|GPhDguIsfC*@?;AP z1_PHqnXh$1FC^a~0qMM@XlZGIKYr^<4|(-!?yXtZ*!Vax0tLA5?r%-QEk2{6q4oCm z243cVa`eU`iyo#~lrxEmiJC|NnuOj524aH0FI>0)x@OWUsm%qAKfNq2Rs#Y7m7!m_ zOhiDiUV|mb!T|2r``Kb(VG%;u*A*mEJ3QC>Os-`U5dc9*v`QRlsi>wN5^CGp+5*jl zhJ{rj`f9wYg;dqmrC5Sgovl?$bST^NbL2oGg%YIuo0@~whfn1J`+yV7Mj zZIRJbReKs6Z;N6A^*o7;EO1{l2O2^~Mvi3m9{Kj|+sq6RL_kol23jj!BqL+iLQ0lR z5-=hZavcxi6jtZv<+WfZc?2YUCNVoZ3)HGnU=G)Pfb!gFkTT2(=zx)I!X;OndU9!5@HSyfdv#VPSZ0q>I-nU~UJZ9yy>(DXY= zadAlgRrjRLSxijJ?##%91T8S1eKCobL!ey)fP(2V2_^1pnYU?U^4HeZq-A7`U6GNz zMS$U$4=(U#sgyzo`v4J|6`NbrG1!2Ha`{-X2%4JGn|<@a`x&0Zv$K9?2fq;rwaKTF zbonVJg7+$CEX7~1*{Y=lkp-&6AQtd6Bv0a+1hGtw5j9Aus1(sO?%w$AZa7XlA5adx z>X5pW@)YjjAA_%^ycH%r$085`5H*i-8aNHoE9I?5g@ zoIGkuB&Q-BDF`|gGBRq2eQpjOhY%hoZo=dLqr(_aFE0@RyJp~B-k$NxU`RrU!24&+ z1DCx22*nwqoHk$L1)+T+_KfL{=c1|WY0_~757KH#df)b1e!iz>Q)D$@4BLuGO#A{u z$Tw4kq*QS)=w5$7NJz-7F1_=X8kQV$x|7Y3{2uFXpL>4oO2-mA1=QWNj*bXhjnqe> z`tPIS-|z#(Dw9(gr@2d&C3aQ{jedU%Lwn3ty>Z=^Os&YKzis zP();hIRev!)`*uWvc;@?d|QMqM*Ea^M>g9p+L{-J)hJzGyr`2044Ir-FpL* z#Y{EZKLe!99r1kfS}iX{B!Ga-^ZUKXY1|gegqq%q!^}V`f+X2$zyx)mJtt=79KgSp z{f(#)V)!@=o#(lreb05DpI3(g>mns3 zHGuT>8>ypW5FE45Um_Gd`q!%i`}pe*6gLFFO-cu%~`Z6T%-FsbiQl zi!b8gO-xTmhlSk$QXKanE-ntR=<3z0E(?QKMS%DCf5SqEouyS;=(aK?FE9W6%$6_& zyt|Ql%lJcVch;Sv2M^E`9vt#XAc9F-JhA-O`M!L_bKo&4;k$S58X8UjP9@EfM0xh? zS*=p%1h_>MEvBlBOdBv+WBQ{zbBBJ~dNY84F_zPYY5RHekb3xZI3*pO1j7Uf<+0AN zwj@Ct6O(i~n*FVI2qJN)$mwZw%*&HUqnc~67Qdj>yDK_6HcA#7e`g;#rlZOnixB32 zGXo<~;&Y6$0I}L!EPGNQTP84q8mc_XwX+(9mRlchVJUw}h`B9r7L3*npeG+cfB2Ip zv9>TkB3uMbB_cy!ZqIM5_3Ns>@Ri8Gl=`%nC@T>?G688Oguajt#Bj{R*7Pn^p zO?R?g|7%Sh*o>mxHEc4<)}Zx(u}ht+_1uXVxTYGdSQbRAg$- zkz7q$%^*?j_8HyYa~KcGtea4jlap&tYADt%z!Ccn-9;HxvlUxeWhg79=93gb%bW*?iSZ(S7`5e<(+e}!+$}EF}ra$ z^Qr7ch}=7x2I{%RS)4umZ0s1(eizPBrq|5{*%`}CeyQfLtxvC1a{yul3@SYi0yzy) zDJIe*&bmhlg3x>AS1O%MuK~3N6tvsCz-S|Ozaw2VDl~LtVuJE~w2x2CgR{8y_Vx?i z(0Go_##|G{TSw+d;;)&j(c2jc0z)m2zstSEUE8ovRmMnC)9T7%5tRoJ%K?_o%gJ z3s2pR>U{yxkyahG?I@1KL9l3Ntgo_P(@MEMg*eGG*-CJnh zo|$&XkPZP%KfYnOT5u-Fr&Sm03)hyClG5`I)7lzEMa7@pX~P5Aq&@=Am7-1}VAh@o z^bHrchCaZ^7*zylpv;`e4qT$nBzlAz&bq2OeG|Np9 zAD+U-_cn%2#!>5urQ>AjRbHERq|}`z^VFTpVfb=ezo5o+l?)juGVhK_yk6B79vRu< z)D(xux+OXp%QavQ{h~ImxH{&@2}P@wS!i{(Ykz+qa8h-3^|IH93d1!p!7O&Rp2!w_ zNaq~(%m)b^fR3qCr=D60XuY#H>dsU~c;T|YQ%=*0*%1*~>!U(7jrZhnn35{89zukG z3EVkt+^McaevjHI$L-bG>({TB1tTf8rPHfs`#DQUNPO*l(GsHo0n$VXUKlF-+9N1N zmM+r#(@Xi9N9XfDS<&k1ZM`+`&a@sX@ppEgN%k#?l#rC9IDR-vmXKNdGOJ-D@Ikk4 zNK;Q_arRxs1nzn24_Rb_nQgVDq@eI5JbZj=s?cUwVA+3&2O*dD+C&$KUTDL0Wh=R=oE(1f-h09zQv9V!#)o6l( zg0tfR%zJ zk<9ZBN#=4q6&niCz^5#FD5XwMbp^T|sGxSf6)8hQ!>lXsU6;o6Y97VRmTYv%3OX%* zDNkhET52HU=i_t5M^cHC_1ang(B95z9m2oAIiAMmSl{0a(&G7rq0;%!ddOQ!TQB7S zY5?9tz^LH}%73U>40LojAqf0*I+*#a`MDfWVL+x!1ROS7boQ(LWxcznpsqVM<<}Dg z%rzyWnLs@=dp1yeFC-6)&7N-?VVdQhQRa5H)J+yia;SS&TtK<>%1Z9qTo>64)zDY%E>jjDszD}WYgP?lS63raf zI5Sk@)Y1mEWw&9qx3w*FzBpIvq~~8LJC!4M1NlpzVS(2tP%829@liiOo@-5q+^>{!G@LgBnI?c~^PQIw5qJd}AFN4( z1lx*ihO<{zKCfqvM-?rAa#D0e1X1GDN;{YcThkW2CJ%4Q@28|9y{1e0S~&DFQa)Am z+u*w{$9h|kxq`A?*0)q8y6!xK1}WRf+mezFE-nWk0!f(@rnLv2S1F~d#p$Kdg1W2n zV%!bdG#|dI&fyxNat*j5&fO1qzrJ=0A z)&#&t3aBtSIk~_q`WljCbGoXwn-M6(oG)oT0K`_?YfU+vq+SsGK74m4Yj>8@vX{lE z882LmesFMb{@b^Kw`Pi?kd>Tt;kmAK^imonwsBU6wGvG$&0ODVr?k(m$VSRrlzwRD z0N!t5@he?T3H0c;ll+kG@nSIwf7p0s>O&n80U@C(_lJ&DF_1+VwdLMuCp@J~ur)C` z=>#wrU26(d(3^*u;K9I_8;irB$Q2wMEFvO8RjNWsMFl1zBr~5|Yv143R}LIZy6jv_ zAYfkOjuq1~rni07; zcp)F_GPF>7{7=&^4)2Uyom>%*zWi0kJ)%W~e`Oz)0hUyoSPt0Wm^$F<>H;Z&uH8!} ziEj1xS7;%?rzgVMy{`$Iv_6%eY*F7|d@|k;GW^Eq+zv%$>`Df9^+{g^oQ*lSo)w7-3z*F+>+68#OZeO!LpyD zr@~(avC2INV}jqjevOueLoz9j4Rzo zoyxe;8C^#8kJDGxqq~K-*bDFR1GZ!&sMCS;Ar`&^78rt@y6uv$X7gANV!O^|6@df; zM6Jz1hxSuwXn{nq>fzx7Fa_~PsUOQ{sR(XOgahVH$_J+M4Igw&qK{xvqJa!51B)$V zoWioQvU$n$2PkGBl0%a=!euOA!rU3(*coye=3lDCoex9`Xifjx2G0_D5Z;@~Uab%6 zqL=o0Z;sQ`(Ae7BXWG31ISxRB-byr(r+!~r0>$5oUb%oQM?lI3hla>J=JUj^xC!&g zWhn7*arJ_tY=6H5bmQWHRi3}~@cZ&Ji6CgZ08^Mi?TKS1nF<-T96FY}b=pGn7an1e z3D{5E&dVoaGY;HTb^$;HoH&uk$^7>1#yFez+`zmaKgwat=oekThNudY@;K5A@cL2X zdxWx?w6RZOBY7EX&bSu%DkR%3eaF&{)^yjsozv1x$4_;AEsRILnHq~w`m2ptq^6JL z0n|*5(%MaNZSJnXkZjuN6{!nKUk>PX)deO+Uqu*+y>q=~u}%5(9&?fSgB;-%J8=Li@yi$8v6XD(mu1aa3~_dY1jFM_2=P*>N# zl@Jzo_0j6!JBNzhWl3x6?AtjYR|8N1!0T20#{MU#a|>da||XcUSz< z`XA2fd*Nj|O$MV*)@R~fnR{cO>J^dc_eryyJxIY=;GJj$sTavXL#nL$oRY*r?XZM2 z^AqJ^ykVPLAr8R3NiSb+_Eq!|$a$#cv^$qmK`=lDD*MuL5Jyvt02P&JYKM|b&Qn=! z?JFMFDiu~TN*tu=^ZOl=C_6ejfctUCC4(5tvZOo)LfGEU#_)Tu(zD;H?G5%KF_L2P zGSpK{Srt>^op%<6ztJek_iJiJ6*qO)iz;uY7sf6()r-zn$h_(@5}y_@Yf0LPD3C!@ zH`R|S=H!N|#b&WPC~X=nKH9alwO!$NcYJ7T9-owBr0KK^vK+Fzn|fJSmN&;kRJlj4 zkpg&Yw?4xn25K`)%gdQ7@6wd5X-KvcTw-Ek_O{p9`mSaz+?N5Ai=u2AQ&DT1Pa=|vL()chyj8j3QX^mviSA!BFgSrH@p5tHVxhFEnwy&^w8OLbIDGbbEWg>G#YWP;Lm?40^g#qB?ruV`6CN>hAu=1erk_xRr`C;6I?S1s{A85fRbb z-yhqPrjXN9WZBn=qJp~I#IurNtqPHHF1Y9+&wT-PF4fm+SH1S<^V{$4t@X^SBefWh zXm-(od@FS{`&lViQz-i4-crU9nFA&_$hU+9sxBkCAm!XH(j}ft-0W7^y-!R=Mt1RH zrTc|v_YXPfGa1Tw#9P;!oj(1`C$(8nwg@RxY%qHwM9$1ds}TWc;6n`;IN^sqvJeSwGImR z&pOSFR>`yWZD6BE2ZE1bI@sGweHA>F{`~oap64L!f?NVF;|vxSo##LWH`DV|<29iD zgahiDkt)bX$KzW83H7Umib|whSNOg|Q9tW$1r~`8pkr#%=;uk;!6F(7HSgVBF2E0k z1eBYqvw5^-!>OckC@Li`RC)&n29DPFUNTZ?7ucBx@!8awg2kX7Q4~5)%{4L+*R;}Bycp3v4ZSQr0p4^~ID%iWEPjWrwu&fa>2Y3Jx@ zSabpClP(`DC9keJYGZp(o(0C(N(EFhT-K>E9q?^HK8T7f$r0(X=bJ*XAcDxUr390t zh(Wa;q|Ht&Z7P0<`cIgwZu|{IX{V9ec`lF*QOm*Is)GF6*=K>{u%rn5+=g#FnAL4Nh7x-EODo_*@K6aq$t}ZKp z{4yP6j6eqghzp>&WEjg~)gKGDAUchB6`D{QSStpkBl`GuKkzj0RR;9){>+Ri$kFSO z4?nfgjRVU8`if`0tq^09zK&FgsI_xMBK1-MNqUt~fUMr0V`7+UKC7#%jBw&Rne|Pz zuZd(4Urq#Y>erqr(BS{_?3u!&bZj>4e0@G-u!8jZUQ&_{NN~NT$gi|LOg>zkfpnEY zI^;6Z7*@is!Y9WU4?{zU?_FT$;v(p>plL;R{mQi~jWdU;tKQ7*JlZPs0oM_a}xOj#up+_znPQpfN^4ii*m(_V8uifFpnvOrk_lDRL@< z*NAHnE>aWlFeN%=r;W#@wP=AjhaZ{9X-h~v*2v2CEG?EFtlK+Y4kgiNZ-@Awl{&D+_IR0UBDbam~hN5oFBY zDbVFq``0@l7o8+3}ndLD3)s&mI5q+y%wvuyB7== ziHjN@pMLDi_6U5O&=0-=NCL@rVF-kSg^gvn3YPPn%zLtjBI#8pWSOZ5u=EDOC3t>8 z0V6GK7~6}dQc|schbxgF$xf5L#I5+askSzd2rLgeJ3B`+X<^DzP+bg%sQ|H`fZQ`f zDf8&kM6?0AkG_4?!RtW;Rc^|<)O0v z^$ReX=*n)?^Qi1x9Eg29^cSvz(IBCaA0&Ogg#PSQbXX$l6v}(F!=Dfr+eC+Y;NNt9 za?8elw<`e#V*%S%;pA)_U^@cX6b55uX96Fkk+X5KGC|xdf4`sZ#6FbYx1pTeumtuN zfbGOTH(~wSTkyAA3;x)?b=VU6v4Q1BQw~yvE)yF#oq!$e zw%`Qc`u%>w0XU}TkBt<+Nemrr{zB}R;{bcO|7?5s>EQ7ujDOe-0S-RkAm={Xr2)2a z{Bha=D>*oX0ih|xtzUa&xc=d^0~px$_-h-;k1q;gEFA2JFA8ZOE0reeajuKyJM^v* z_c*e=X77w~@tf%yvj)SW9m!1n3;k}VJW3gxSWItB(SOH#hWvAyYQUA2r6&K)iGge0 z>9QzKw%BDApWq`)cioTpHdb+Jt%jk>JH}M&9@*Ar8d-g~+uld?2^psSdlhG0CcRbJ zCIfPQWzxs}>1MmAfzS}wqNyj8zi~ZtV7l%@h-a&?y#sR_I zy`JUi{hjJ`e>3F7VFJTQG4>{$Hy9`ylxI;a_lw;IZCqNE@kG2Isksb5WE^+i4p}8} zl=?QDdmh*1Y{Ro_#NsBp!pAx(c(5vd>iuFUKb^gOVHl%7F3mM4Sjf5&H&FNfPS(&_ zR`KLJs`KxsIKJsXedMJgHWgH(hRe&_XR`pu0UMGSG;Q24^ZsG+^1vd1w^=!Tac=xGG1kWvErsOP9V}?@5>yS zu)O$TXYvE*bT;XHa+tOG)U57~(Fm>EY|rzev%(>} zppzqtve1$1!ubo|>N4_W{h}Ng1=29++ECHrPoLR)nb7i}Jl6LGbWtr=@*=wbbQrg6 zornB2-@rSs@;;@$S&{W3nixTvC}R*P=k-%;peL6dBdj!nX~GlqyH?sBkM*=wvIg>h zbQnR+4C3mJ>U+8W9k(J}e}o*(l!tDU>5a%K57c`DJKyOd<~1l#SDw9LUoRIt&&Voy zuFODW;XHGFExgUKSqsW07;^*_3ZEk1T9?XD?j|9(R%|8o}^PWV!mb#NF}y6pg0Z3Rym5ZVI%}K zi6aTmHsi_}U-qgCUA$FbRCut|$Uxn#`kMGIj7dbyP9}iHXUwX*;Pc*hr*eU$_6W{0 zrR6|EJNPMWuSkW$xyr>BJtLa=hpz1N3G{e7%R&}I92K(keyk+Z9T7w%K|UAL2}J#S zY*mQQ68M-Vd|$bcLg9~$OUIpP zj+AF5YouL}Wl_idmbt;lcXZy)jl6;dxjhS_PR@z6O0v!1wC4TUaE`To;(7b)45()Oy_SEn2rNw4*hmU8nAHOuI&$ zexonmYa8b@{_YN=U#emFX&rtOT$+TMN!RQP0*QO#cbiQ}pnER%FHjP`(n#AY%x;e{ zzD$15nH;?2oO>-HsZW@vgS^Y(K=`slGHZ}Kv#&9B_LN<{7G{Erdi%PSh5?<5tF5J} zYD}q1LgM#eN0aw4JO;p`!ue1cBPVyZqFP~p(MYqY zxVEqRr7$P))*oxVu4b%rUtq$IbvC(SWGk3CxV091cWvCc=iSnG31`Y^$_1q6om|&T z589T=^lDZ{GIL={cp?@pDT(%XcP|G_#B&8ZlkpTiG&J!jQo5D%ZXzd#zJ1xs0-E&@ zqSo#IY-m!_AqcUn9NcILue|Yz#-fbOcvJ)ZcI66J*uf?8Ius!cVk?~b`MK!z7iN?N zXFkix#!xclok_eF@~l~g4?aiW5Oys{2?H5AKXgvv+JY-nb&~BYJ*PXF>G&CceZcyWcc~2%2Vw1b=BU!9kCRTOr0`=2} z*9#>op7HA57=0vS^YrG8hawH`6ods8!hVVcVM84*y!|EaRGv-V1rnX#jcs-om-VsF zk}iYrRv4i3U_E5{0* ze7amr?4j5Jkr6|baMwNmk131ia#lEn7x#vJyD3$|JeFwQhSe7czm}RK86c=}3@_DU zwVThVjKDm%OZidd!4*=Z_hyo}p5N2UDA-8daG|OieiU3jujP1#CEqYAWq}mi>dxm> z8r%8zN`?N0GKuLs-s39_^fldG+h@_+i`v_gD9^CvG1Hdo zhBSqADB8IeG$TXdJSjyNBuD1t^&42Zg&Ani#b}17Ewm8|IpH`JmJ(gW(U)RArTr@4 z3dIyHe;7u8+Z)V`2qQTsi8;6KtMoOIf|#^EZjTMIyNc|cv>f?+qmc=;u3GcH@8WHm z8+9h{?7AT0k~v;@G$xbIhgB7u_o{c zoCnBrFE#8KX@zBaS8D@$!(k;XXQk7XI|R?R3U%<;)F=f~tIVf&DY=rmHWFTFPG*gk zrM`5>9)oGAg59VJn=d&=OBE9>AIEHe?hXpm1zodI9XuEMghzG3{ijhe?05G^C0h8x zidVGn?Hk4>7D5H(cjW2DvY+Ad7Cxo(TTGsKw{lU&&3B?SibvM!nHg>ddH7&)^=NVc~1fD$&5wPM4&$c-T@PEku+mvn?K(%&8170)`N>j z?=GG;e_a>tLt6+6QpY#P4dnjl$<-Udo(^RU<5Jb#O1Fw3f>=uV^CFVV^TE2DVOTSYGB7{L*SpH`vgv1Hm3(w2zDIVqWlNU3 zkhea`-M(8RM&J1q*WNZC4+pn@TS?2ZYbI5=IW+`HG@Xhj&x!zviDL1Lrfql3PKPsE z<0q>n#VCrXGtjs7&sc`J_wNo5RLS@}jDG8)*|ysDAcrjZ`54Z+%SsXVCj#Ts%2zI( zZ$&Sp7vmL{q}kgDNA(h`m&k`dGIPgobY4)m~d|39sW2{^pPbS=PYs?Z$Qx$ zixajha&<+s%%V__fQNqm0Lf+IH{*>gLv~7|xefH%Tr-ZuIA@+Kg|&`mkw>DBZxw{b zvs773=@eXQO*|x!5X)Z=`uXGB22gQ8MCFs`E5cX~Gvoi1NWu=!>IFUg+<1p53mj5L z=){>AM;DK|#BZGO-yf3pH%I7zteOcN0t3#b0cSqK5M>hBA(dnY2g9(OICbdq$z#&~ zJiQ^hBOZ+a9AX3L)X~u*{}G)+sOESOf42RHq6#>*=`U>|gr0t*V*jG7!u>amf3{@> z6dDG2DLXg=lN{Z3{_Uwc zC#x*Xq96r0JoEYYvI^^Ohe-aGW57-d{fqc<9TC4sHOcT)j*IUXbG~D%esD!bel$oG zG9;0yAb$P*w<&U;dbxlw)%$(@P}1GA23T((nUH80=ik=el8!1vaY`2611I-*|^jiPXibZ@pqy?3noWVdrhKc=_0YS2Apu3d4u zb*Qi{^sSyj5_&*d#cGJFF`x01`AoiPgV-rUXBH@rCiT|9*0%bih;Fs3_I>w-$9n{dWHe~BA z6!iG+yo_xN|G>*{TY;CPZmC+7-8sm0W-u{#_#fil0;;ZMT^Gci;O;H~g1fuBySqz( zAPe{4?k*t^+#N!2*Weo5U3-!2ea^n;y?*zNextj`8naf-n&tIZ&A()RpAg$uW!jqY z1#@Cb9%7OY6^D3)4`;bljzgytfbA&e0L}PgRrNwJ^rVw7Uy)sp<1_X%kk+Nr!G8w8 z+bGHyX~k6qgY)UOVcpg=>9&Nzt>qakV>$NSu}c*;I$C_t0fjAv#huWP180#afliez zIl6|?3)HJ#MQq}yn*nbuF5$Y~TQ7brBJxYgr-t}IUFj+32?_m97COwe8&KMIJ*1$U?_)UacBCqvkr3{ zCZITBZb13CFSq~x!xH`{y>BsmYl*ax^IkdikD|ByL&X4P(S)3Fn_o{;A6;V0QDb@6 zmp-@PY34R}Q}0V`bsg}3iv@%ZP%IC|tVpqZVNnySxM>T@mQRZ02=%Y}E{vEr1b;nx z+V(Hcl3U;iw=|>#O;Bz5_azt7Ybcgj~t%wpP>vAYxPRL96Ipa^O<%07=7MD0~{H1lSdvCSe#n44l6)5)}+em@z3+r z_GwQ&&pni161L1>wBv27f`yYa-zX?U_v703z$qB})wE6EsA z)F@+&9!oVT2bQ%dhJlK-P(h^x5jA^!IpVv_9EpG*gU?OAb)M?$TAKvBOd z8{12Cv06Yg;pI~!+BT^`u_99@#RO%OY=k8`sfZCzh?bALb?}YT-tjif4>4*J#ZS<9 zx(?0lJy1}3f=B#SAGWAI7v%i%VM3fTwW{Z&goII;GO1d?7WPH?u)H6FD?FUx&?&_X zj`0w|1i>tO*ig_1u-BZ%*ea@9S$ zA0nJr=VYUjXmb#3h=$@ZtW2(6Gq}7tNbLYKy|H`u4URb6YRs?h`v_3{RRRQZS4PWk z(1AkGN+8ui}~g~=0)K`QEME-qaw&ZWBIe#q~t@X5ym8m+EfH05@_!<~cRAEmkX zZmNE%d`0#24CAfG^%O9?M~HK$&v~uq-jNvE)a7^F)YXUgS~;^_;hG_*Mh<2qW5mW% z9RUI!cn3~KBwCNNUylQK9lH9MuK`(LCA|KS=Mzu92 zTN11SKt+e4RQ;AhuK_)VXq~ZBdsCg+G-|3=N1p>GD4&tqY?bt-oFEffcH^N$>$jxo zix*A0X|lqdEmmb5W#=6U0_vqgbuHD2%ih|%cATgJ04+3>Lfv#leT)-fC!hyuyBG8U zR%U-V06$$zi`M5vYZ1d`%f-@Y} zSh=&q4E|DsWT+U_6Atz<%puf$5gI5uW@*An}jZA=xmmd#^^G9o|^Fd z7oYA7)dN^_3v+i&Y;KZ}caW_)AMC1^WI}@Si`nt(`Iw{Tw8`vP?Sh2uLiwvmDSkWN6vHUr5vO{UW*&oGW}_zKFJasbt_dO+ z`|1N@_5|CFld$z1AshFOVwa_n*5*DP4!7gFp1Jx(HNP`nS zPy4@m(SJXK`d#1ubq2-s|7ZsFk6!dQ(a1k~(f|G=1vnG<4`KdC*#D*%G5yZ^zqEb8 ze-9P^?;Xz$g8-*mZ!oNS|617wA~yfYsSa=#;B6=1KQF+4I{bfp5BMK)^#5%J z`2WW$`DX_HQIr21{r;LQF|((Pzd`M*jSETlDk4Lz$7w-8F<~LIU`?=owrYhzv4*Tg zcHI$%5YmIAh0{y?D2&PA1rcMMi{&{153y{Dvg#r&dbGLp)a&&+;^dlm)%*jNh!P*k zbK+NMm_Bth>(RO4g4{`tWu}V9sFUbMb3ghn*3-?yi{!@LMIu|)+2@YtBJUo69~j^X z*U-r52Uqv0*-i!AR*Et-b%AUV^&?B7UOk7{ATIinVRez1)$GgxK%Smm-J0HU69P=5 z49eT0dz$e0OBYu`FRtTOIjHWJ8b~_da5b0XoL2dpoa2vDh(ky6d^Vld;?!;tVm^LF z=jR0%b2&dPKy~4fu@_qOCbi_DHLk#s3;^0GC<_pj5I`hu#y=w=3)3_Ut2(11qw)kB zs|q8N5+l2YB-1wSHZv1M1TJ+du1Hb5OLHb~^Hnc~EJE`YpWmkLLv{xog;-IU?t?t& z7@VLIRNdScg4z{8 z7=Ptpe6`?zNBRnA1Rop+5L1GHQjk9)A>Y5gg0jXB&nCQ&g$GG(^=$Z`95ngoOX|-X z{q2SEuMIOG6$21!o(1lMypbIcavpfd;SUO~oRO=u)f-I)10xgj@69COYnB1}NnzqvGsnThFvQ*R(u4iG>7_om(7yc=(f5&zlQf3rRa6aVJ20kYaSySR#28aV^c zuK-^>Mt|J^G3?2684aa1nF7y={m&fC%@%<35Z)MgXA6NX!aEatDS7{Em!^ z5vbGwF{y#*<-pC$-+ym@IDv5e!0?=JKL6M`fk(1{ga<5~KzGhJA3y|I4&bx5lz}hJ z-_C!s@!RA89&rMm+xlGq_J7#liu=bE@aOLh`} z2kJU%ubS8QyTk-%8I4gx7!T)Ie5=zx=TSH})BTJOqD> z$G=o>9X3uN6hDxR=B@7jn4BzcE`LmB4j?`_5J2tsQ(!%?{l~=tY$sOM-|Yl^!odur zJ0Rxx9p=p^*YBzT?klqZ&$IpI{wKyi=9`~C>HORFC-h(G{;p`2-{zZ-|MKj2F8>|R z+w=d$1yn8m^6<|L{IUOumKAvFju}{i|1QE?;W!xID*La3{T35;&Nq=`XM1aLj^ASO z<_}1w@Fr%!lKx##c3_9#0>Y|u00k3BGxjHuKY{<)IsQoIUsC%!*|!9N7jVn}t=fO5 z54>gnEh_&m>VMk)egCHc|7wum5&yBXFarM7g1?>r1o^97e<$|G<*(NGBMomA_Q&?y z2g~pN!t%Q#{naM_c>1S`*na!`WByM1Z;=B4N&fyuKh|Mkdh1S=z+t=Thedc8`!GA?#&8p;kRz`*1>^751cRp zI}UJ@fekpMaROT!2olW!TxJJ036Q4===9qICs5*n&CCwO@CU~JX6Iz#dh5-=Cg%X2 zSOktv?5uCOc}w{XTc4R2@W=kgWC!AnzYPq(YXO+hKkMV4Wnu%4>cH{hO)CVp#qWQh zfUyv>0LMfi7sQ`23i$Nz8u;z{cDmy)7p}LHEPuku{>wAlZx5_&Z&_mnM#KpmBLC&> z_p?8B^vB;{CL@rt=3iq6bBa(fB>H;}cHo=-kMap*s9 zQc;%fnR8O&=Jw5jO8is#>@NCZ@ z?i~>qSTOfQ_avC<@Z*P&RPc$3eLYJ1y(@WuD##+3X;uX&oh=Baygnv_zPUNbdlr^) zKo~K!zF$*bWH79tKMFB?4J0?IVwnHYG6CJlNY?7s(=QZ3J6Ui;Ac$E0>oh*GF}Q0L zBYPbrH8yt|xZNrlE}k~Hs$f5+Al2=wlhCNc$+qc_;lAyIgCR@HtpONkMzq2_=q7Cd zXBv2-f3zSpRxa#%Ogv~r2zytjjEQJ2NNKtb=Sz_yXc~7jE;k71DVPnsNp8hfYj|x1 z>;&i;Awe!F8Pc68blr0#-7A(q;hDP&2wfE2Yt!S>1Cj)00{|Bf7cbEE2*GZJ-gXqE z7;{dri%QB362kj6NU0_w0<|ykCXRTv=T~!7}kP{a3SJ)t^2H8ybP8YGU(%M){dz zHo&}Izc4_hzXY$1uXXnz=8it``_h5z3h=-3?sq7p!Vb*+eD1swh)I=_*4nL&fLU3i zcr8H3fNTck#o^=xMfi$80)mMNkpBoW{}_1t`fzV+dG1d5T9Z`G1_|o%B7NJg@G7;q zbBdGu;w5AW@_HzPa>d^)2yzX8)(cGwT)caZ+Nj?Fo7JF60E}H1sK&6C|7N^w1K__0c5)R5q%CxWpZ;%gbpM zL?sryhlpxu5Y`a&S>y_UwF$-;{*2`Wp4#^+q)T^7c4N>7Wf*@C?Nkevn)oWjd#aD{ z8tDNd+y9y?U~S5LkL7&}_XO?S=EYX;c%QPqQcW|kxM2Wwie3v4`UsM6-$@MZH@InV z#@8?k*m%@tTzrA=_yP3{zPQ$Ws&U;pb6S1;0=Y)^{2nR!Rx%p!8gT04`rz>MG&^gA z!0(lIo3Ae6{T?trIp-HF@6-C>oHIc5{K8`Q#&i6m3+USTi@@DD9tMmnI4&98hk&U2 z0J_6~pMcpg-hj)?R!7oj~_LXJf-&IWYThDlo1gJy$1VvFS|Ic@|te@EF+am=a%s3b?dT>LW)><}v%huNU$`k`nc!=@kYxD}>Zu?H#BPiA#dy6G8J70pP zrb#B7VlAH?GTFJQ$0SrE-~kPDd*)oqhkuI;B8eHs^kLB5DBk^73$6vXW;vb$4H$J< z*x?3mAdPgPEL!=1xb#)6fc71KM?A_Ga?5~>3AZa`w;a7vx5-ZweVgYsqD1mPkyqdi zPh8C(9XogdJ&Z|sW0Hd+R2czwu@%(5J!x5rgSW|wgicZR)E6^^cUj|i$Nt@@Q_M4m z83~`qpd1A9@i^SdC01mQnn%C$F?*}w(wU#bU69H&i z326zkgE2A2;-&io1qE;Pp^LSxj)4tGf=geG$8}xu?9w8;gvuYRwhNq%AJ<`KWjfcmN4NJ~!1NLpR`U+n=DXZz7TuPoq<9~M&QAeR1IA~e2@-_8A2pv5X@}6H&QE!j zO%Lo0h=TRLl8R@h<52K_@=SEM!q7Cm*rA>y^#R0_nQ!{`P_+kS2)$3j)Y>@EMMov4 zAEz1VcjK19aoQ=!T12Yd6+9A7OcceOUGOr7RVN|!#Pg#zF0$h#^GnNNBo5o$osF`& zezmGle*taak}O_Vmt3mH-|QW0V&W~D%M)UH0dmj%5=V?L2xnEi(4obUXQ|lgM!7hu z>jZp(9d9e$P*)lvX%AhQ%nL;H11iJ9(_iFckWF7G0dklU?4lDCqA zdl5VQ^C6TCo>s{Pwz;C7a{K z##80g$9Yx0Ht#(ua|>y!EBfY{N~hH#5a71?gcr3O_IK*~8_n1^VXG(YI$Meb%(Q9l zzgz}qUIwyfb*76#EE>8b3cr-FSZ|kci=U_1-5+Ez^JekmE zt1hnW7Ijq;oK~V*GuOPwnb`<;=wO;f6d~79GKnJZ>(egETU0CH#92Nhh`iwo-aMw8 zIgosO%=GS_>HI(-W9iWw;?>VuyeEF0*H5Y?6zittEGl#@kGENE;L4Y}da*pZh0tA^ z?wTnpssSS%`YKk|H(`P0D2XAD6ITfk)cP^&w;GVWSy+FMP_!kuclbT-tK#yAkT#Lv zlGf*+Vjd07JqBoOX>^2WY6@$V026De6m$Ul&&5eLC|^K2PrTeg|MH`uJd{?){#W|8 z&32zU*%s_DMq^U64?^$?NL5GN6MWc?Mn_K0HvYW~!JV>I@q72idWz4ZOXP`wI8f?H z4^07AD$Epw!$CRKZ^5+(e_sRr$k83GxWL=Y>vw!uRzGcRAwwXCG|II)jjrx!T5 z*qFsSax-O0kuKkmyBlNEeg+wV_&gMSiZp4EaK9Mt2xF>oz^g%@#8>Vn?yPp(U*Azh ziwliNy>dqz(7xY8l`lO?bSm)&*c%gsv8`B*wi(&fdJas!D~DM5z#6IeZrFWdSv931 zAVWuYs@c;HYWbOJoS&nt@9ZUs}TrJaevocxaEV2PSalJS5_jf8Nq_fz0u@t1= ze>N;S=e1*v`Zv%#f9F9>J;xxAc`^R*(|!xhUag_s_{Q|J=@-n3D9c97P5^6iY9;-R z6?pJAg1Wf##p7hM0j#eVQO3F4$M5rb>f~@(ZlbUZ(i)FySDE|*`pK0)7cQb|3k8^h z_>X?^nWR`3Pzd^IWFisYk`QofIg|8MBT|2DJ@xR0=gwWjoN9Ss@IEVyy4IQz2A;Qk zxH{Zf{34UuvK&VA`vgxPi5GzlMM`jR5sz7H6U(Tpv>O;N!-T{WB(Zer zcvA$82T=8+=RnN!xEU;tJDG5PcjWLg49jL+``k(tgEu1vm7}RbrlTKurp_0&kelX? z^5X>iOm)_Vcd2{>urFiKFLn@Y?GDCNAr|`aW2}k^jKM+y`nNz(uN8oT5hr~>fF4-a zvN3y6pH&C~t@&-*=%sSoGIkA*7AGPI%ku6gY|xZ37silj42fA>ejV3%5GUC+Q=XR? zb?Rl*nN_h5b~{nW+CuLDX_h0oHMXIr?vnPFyK1{9p?4G&U!2?Tu<#&5GfuJs>B}vZt3XfSgJ&$G_JW0M^RoFw+LIwNr7pv*`N9Gd0BW@7wDJl)s-bcgEP>6}ou+`{&`xaXEjjLu)VMnxLRLC^;t0N0cg|zsiPV6NDNeVismMr$H!A0p_h_8E;p_@_n+E;QU+QD$WW<<8p%R7x! zvyP~<8^c&M_mCBj%9=28s_qho5geNbCnpr2lTJNR^LGP#9ah-v?}{DeV6s9W=JrE< zH=0^zE==In0LAUEp3Xvw&dfCeY&{f0G_HN9Y`2Kd-~iDc6*YD$cCqMcB66+CSOpV& zW9zh)X=)JdF0rSSmt(>wBo_HMRl{xYTsX#JxD zn}G4?3&Q{*9=`5!3M=B33&-G0HP#wbXnT*jQdz^aorOrzf%-VwQAlexYeFFPd%I!5 z;d#rj3*fl{i;IEmQHr$UEVE#OHsJfWDjJ?Dr~-ci0_ZzSLiD(c4tn|*39ObHrBrLr z>h^|hsTR~8HTy8luZb}+Cvj2s#T zq;={g%bC9xK8n}y>6DU(tBN`VBh zf+p>7Y^Rg>_QXgn{Iy;MCEv2)3^&r-^#W`<#H)*G6F|PMjO;OzzSHA|pVnaagv|JP zj#XuMXsE-#0e#u7$JCYNq(DyP8OEkxKXd%!RSSa{Y`5L2MQu35Qw3`FK)BXlMtglG zKV|RUzPBPUygra;Aab$hsPfVqg<{R{1#KMBhA>BnJCNH-Y4_TTYx*8J9;5&0=-G%% zelLlT1AWkr3nTh|bde`MUc!w?O>g62@I&>d=F2%dqRI`jImI)}OUPI8b>yaDfTi$x zkZTv+-6P%_rMbq@?di7H{HFwD&wwj~8f;jKocZ{OE*58+u7tt|N1bXqo_(5b2FDp{ zDmB`nR3(Ke*!-bsRa&YMZnuvaUO8k_3iB4Zdn3&_BGr=O5qLqHfLbq+X~d|Q<=qm! z^svt#b}v_QP^GTj=g=Q85Z_tG0m50y*LPCp494<7k14bvlt@|&@>_h+S`=Pi4aK=-#?JeED%Pyj8FmL~ z=LW<+q+~_5#F9a9EV6TnCAi1p_jmYT1=aKmL#@JF(<@lrM@Q0&e6`Aa2HbCiD%`na z3T`lEZjAf!wSNOY`nEup8RR0xnjDnVQGsm?{(u;FEqL;6@{{LIZ6a@KM%jkDW2ndu zI;7syQzZ`tn7Xi3LTtH1at}sMJHPhI)?E#jbVpV&=cj4wj+^J$M{})AUlZGs!^4EJ z(KY%uy!nLwIaSNWn(=f3T!3I4!dPz+r>EG-23pDd5*jFpCD|I)x2ECCbwXe5wLl_g zC}=1~-F*KvQgyF96btlpO$eQBtMN*9GmQ=l`qrqlNn0x%i4YeGGT~W`8)Cm{T=(MY zwbcsJP*e7J1rVb$wUYyV9g`t1 z@j#bu4!2<8=KUl7_`^43s!8o*-B>g#>@>C$PtLs6Umqs=@FIFmM)*myllkq1%oF-y z@xb62l0LT|20x8lzb8qvjiOdht6bEyYt2+tbHmHz4h?DA7Za8rH%-dV%eeZLAx&Pe zEU3j$gq7k`dKfVC{sj;-Qi6X$e|?7Y{b`KIVUE2v^X4lzGf9U|nNo#~A)20rSfNo* zh%M_gjAz(-gsw!5?scEyw?{@y0h-4i6fv9x=?ID$i8LI(?k(YR z6|>xDRmkfdT!-qZaEZntah|M;yCy%td}x7Y zxNDe@EPf~vqAdoPEh_bCq`Psfzcr0u6TJ)0i229@Y0Y^W{DmoIv}>YZAWlu9NLqpE z;yDe2V2A4@xtlU(YbV?xlMLIqiwkS?N-vujc^gU4fZ|IAG`zQ|CGNK50_!&_Jsw8n z?(HPP8zx?&Pg9wc{!&p8xBY?K2#()Ln@O$sR1Iz6O36|I`iE|fOutYDpuO%etpD;D2FnDWzP%t^mStJUW^?aZL2MTObkwAej2Rli%ABUlKwHU9 zF{KQ-+FfxDqD;ttrNI9pn}BJ|TH4Vvnk5ux_Qj+nX1V9&M4Gl_tY9xiN+q4pfs6ge zu&upJ;|8WP`D9Y`Sll!$W!&{J*gFs7`gCEAxnuu9z}7(rF6GH#Iety4(>05Px=qPQ z2}>ukn)cF&+T4!zO}4H>Q*!nYe-IUiHV2*^k3Pywn`c1I#gGR(wj!A7(JJO{ z+(8%o)Mj~yZ7PNc+(jb4tOCfy96qJ26qqM`N|j!ttx}lAouW=W=#L&!1?MvyVRNQ- z#fbA800~u@;*p`-vL)+t^%n!Gb?B(>7N$9wsYEsH;%#v%4~2>ac!#Pv)pg~zl>3D{ z6?Fx1yn6*@P+IFx$O&=P#H~7YA#25j_&ua?XOPhI;y0R9>n%j5JZzX&vjX2yX;ir@ z=%&hb)AoD!57~E_pe0nZ7$rYjGdx|C3gjnT0HpnfIc60cCz4_#3|3g{3`QfEBHuUo z8%)@#R?$CY!Tl1}Bh&veA2hM z%;MtBq&M(VJHPOiPzhC!LVKPm*!5?wp#<2uno+CKGkjL|ksp&9J0V|N4O6GLfmjWj zU~tK!4y0;GHCwYBpiYUF7tjnR-=j)ik2cIg_#u{LVv-&vxd?)5hO@x1gUDayk%7n{ zw&qUxRit5G3z}B`-v3vz2u$r6sHj-Zc-4ot`qIl^PO$tYHEx0M=^>}xIEgDioA?2( zA}^(-O9xYOSIHJ3wa|9M3|UB^Qw}K~8qXGQ=Vrf)iapEhAmyx3YT{qZygw^*)0A+p zzu~UO{xyeO7(_Vd4)dhoM^saQo0*Z`6ceYk*l?t*-!%FCcpnX#hXznlU|mZ?ykgJO zbHzi?Y$Ts_&00=+PM}ZD%aIpxZbSvxkBFS-X89)4g-J?}PSb7)?{U}u+4nh9;XPHc zd5&QcbTWFA40|wztL3b6V3=$H2*Brs?pi6W)Lc>oDlJv@9)4b}x?gAMC*8vG;HKfI z)t%V`154JK>2ttAOa4LZ1iiiLp( z4-GN27u%ZDgZ&DVdgowI>k})}d{?q?h9VWKC?9NAs(yWyv0fyL$a^1a%`H4zvpcYm z;7=7D{FWZZtu5a;yeNG8zScGsHaM;uQ?x!9wZ_*hvwZXUwng?eyHRhg?(^h?7Fa=5 z=(=1tn?#RJS3DD3179m`jH!A6fQcMFWJ5wGwWy}HrMDr5A7hS|^nx9az77hKU3#;& zV#j-UvSfE`V~5SrMPr_nYTz><#9upxF(K1|TXz_ti9KF@sTFWT!>%|ae@hDCslpd zcN>a9DHn3-6>`+v{K}!^+?uoYqh_~y)GK}}J2ibgM-SBiIy~uwR*Y{G?*Rv#bP06w zU`tL|H?hRT2%tM9yJZAv09C%;b8i$kWNUS$z;%5aBWv(s2bjt0MIo9oi4Uj=O3U0e zGD}0LY+*k;iSzD9E3TDqo_i|D$N9F|<7geb7BrSY*HbE5f<8rJ>-9&i%yfC+L(L~To` zj72v0Eo6Gurew8UPjFzLWTOYJ@{Z{7EPp1uy`Qt}(u6>uj81^743S9Yp-;W&nq$tB zoK_mLqm0Un-NM~-H1liD?6q_^mOJ=5exwdJKfZeDb+y|c!)-i`tJ1| zSvxZ)>4<~@%&bP=dQH(FXdl$ZCYwp|2geI2hM|Tt>=)0(CBVg}YUX#lw-%8CtI^aQ zy4}yR;Ta!;wbb|V(hDaf-3Pfsxxs*3Vb?){-?ysGy`9yVg2e{Hdvv@(iYp~o z&q|bzwBFN)jlh|y)W~U6>H@z?aVBi*$i=Gt?%b<#{3;4bm|J~vDMz*v?!z@wJBQyS z?6O8wG;=EUAPjH|G7{;ouG*z;)yZ==`qG@}XdNUa3dEL!vk8(e#dm@ZV&s+C7`U+& z$E^2j4k5YijonDw_z~~Ig)JCsT^)0unnU_ZUW&|!9Z3su&lx9R| zlDEwI+E$+b5fMWdRIgPZfSf;*a+o(Z;3+VC@(%7NArxT5p7p#(TDZjmDKtebnolm8 zQS}-s^cuqP#v@lw6%($|H+Af5itEHhBxdj(W?bBZs1%DT{SAU|2%eg>Y5e4B&fvVA zUUelh4)?4gHwcZwF}`ATeFAq*|;OYFuKg z{4>(D)uKHk_N35CBBKee(0?#)V)9(oD$Y`F-gW}~Ey8M3bvA z8Gr!0)`sGy`2-%Lc($@aQaVm}RJsK_BgRX7SZxy+hq^@`$6gh$PBoYxuGV?isbnmeY!$ zJ|lpKqhrtHpE4^cYCCrYpQ6Tlp&zUrPTYnq2r-LuW9g4CMOuQ#)q|)%6-DYo6(aDM z*pUTRkrnN7bwu)m@@Dn`ZljpXzJ? zwGOU*xNg$AVrRBBJZkNTL6bxQW4ZbwTH0u2qERK?9m&PFJY%tFl>i^$34PZbuue3d zc@^OaK-p;+e(OmLVr|$vMCEkvat3MSoF6fA46hT-t4BkS_gV$L}=sF`$v2g5}*zA@gdv z=5u_S@uA8?3+~mE$M_6laJidgvMRIManNBegoc|ZT$4k5PP#bm;x#`u9h~wwsn;!nKQ(^upBQc11&6k=)gSr`)R&1XlpEt1j;+jZ_qQhm^gBMt#_e$IeSsE-#LaiUGJ z$u(am&PYs+T-IOh?>^g=V;UEXxVacqo^=6C~8tR zZoT%<9x8`;m1D!PwR;jteg}iONX7Xyk;(uBY=uI^dy$j%*HI|1B&_xV1ms)wy*B9| z)kr(iTUIvDI|`J#J!dW>07A${B~X<|e{poqoi~`%%|LLH)*aR1`Y8B|!$vxE&Km|% z$jE@iOVp>>hzXwFMcDd4sbRS2S44ashFLAy`!-p+fS$nCgs30Xli&L(#e#V_V(v$a zkLLdVFsZ%|wuFFp^%uxb&)R&GiH3V|8|UFBit?mZ!?5W|0E-|sT|m_#AA1~0ZLAZS z>dn{Zy)Z1I@y)bLhBfygS0h6Pif_m$$|0@8>Bcu~^nCaRKiG1I^`(jcAf=hTcSw@c z-sf&4GmMXVJ45E*Og|D!TSYDPYDPLG(C;zNX4po2k-GR9>3yQ7!?oAnDE3`Yh>Z2< zBu@Oe3b&%`P#!zrJ__)(Sbn{+Z%!nElf)~%$_6%hD<9mdNpec{2-hgp68UYs% zpX$y)c3BnQv-WV6@~>b^JNQnn9-?;<))bGs^4!lwlDBd>cjbWRpDs@wzfj|rAq?W9d#o>EmX$-YfMmiHq5BoE)sb%eTrkcx7g7$W(tnT3#dH${iA(GER`ds z!2L+jdtC(y6@7*2R%8XY#hFlxhH>8Zhh23;Zeu@(G!xRZt@3rUd6VmRvT0|bSqnJw zP$pc6^J3;*MdG6it)D{kv7AlN{9>i(v;8vrCZQOZL>;RE>c{5pxiT1yD82YW6q5mh zkDyT;P!D;nxM@7m#vwB;k8X!i5sM`dY6a$OjeZ&3D)1teSmsRP7mOi;-65PK_;Wcg z@6WLC17YujB<4(tTEr+;re;712NaM}GngU7A^28W5RDlIrqD#BRtl*r^*&BT1e?Hg zKiW@yiD!8aQ1BOsPiIZl=*%?}RgrWI5*9B80+NwLS}M?-_f32G1k|gDxI-O$LlUT@ zxJmbwquz8Cmcf7`l~BGWy_^fdVl)>kOmV3BC~C^ZKJBbK@QG9&zVdT)@9T-O%&O}^ zO~!n`kOqrR7e}@~^2*l}s1jD>7}g|@in$ffcj&V{fNR1ZLBGttUO!i7i7^p!gf4qm zY@XxdX3_G{AHuC=em#7xM$=Uv*ShEz>~_Fg>#&d`nP0JA7Uu%BQm)>=L zf8toecRtF9A-($WBY!rLV;-Y6B3qj$MIDx^pAKc`Zue&1xB{vXnM#w7vm>$$8k_E? zd-X4IRR9_i%k$0m_Bg(35*vepl#BGtoO?U=MrjIupC8t~6JQk{{pj9bxb;4eeSgqQ ztl4AJSnE(q)fyQ>?6C^p$t9vnU6o|^NPC2<^U#mgXw!AfNGnT5!5K6NtN+m!@by8M zu8uoRp**CPm z1QpqS?)>nElZM2AUzZJ0kzdHdjva8`rXSD0prtVrWO7N&t2QBhttaWNN$_2H`VZJNt>ypN%SjF^O$F1P54-`8X9fO zw4HcVotG4@u;#YyvTk<)HutK~w-^pe*x$c28cA-8DKuOv74bkZ)14L-DB!|oaj|?n zU=aQiwH)Uy*I3UTqEne@*fMM-?Anw%kZS~}3FbjxboCQW@Z;@(mQhP`H8Lf0sfW^h z?3SbW`jI~*SzESeLQK63Z!~8t$0qWac(|f9d_L@H;!<7wgc3hPGR5vjEN?Z0TD%QdSGLRG#LxnO z&V`iS-F_gDt!KnpWJ#`};U|~~T-WvR0 z_HFk2&y6fl(qgoLX{>E z1`(0C1VfD*+8@WXhN~p_R@dZ&HP}x9Ko~DVd(DL^v=r!aaR8P|559iCHq3_ga1ZYm4RogzC?#T73(1WmBgn6XEWKtN6pBC*?Y`USTG&a06e zzL^L1idwErAOU4w>A)o`T0frtG)72O{wfGz2%a;6fM)r$It*hIeYUKj_pW1{B?T|F z9CNnWT$niUHazhCT`8ei*FJ)l+Jb65fi}{jl!?LBj7b);cJBA!1s~)Zna#b` zt*~5u!N`JD%`UFr`d!f4$G2*qSpvQy)Lhiz*6<4*pPk&gu-@5nEQq6nuK8rSj4bSf z;Z0exU}#6{$wI#lSp!E=cYO(ZV(%9BWmd?`boa{p*VDPr=a6m^XZ=Q)b_jf4s2 zPj+Y?*T)9=;4hBkA6I)+`r{>%i_w;Osk^-pb`WQ0_cu6Ur#VaE+bK~g6hhciE&WX` zMv&H#cu}R4M?A$Yh;o0`5-b_aq}|ne@mOzyqa`SX`8*YT1Gs$Vsq z&wB@T9ryOFZ~(jFOXSprWYT+9BLc-X1oWC{8d`5G5uDux5-Bs);@1`8a@U= ztS_nxpAJ6Ls9G3YUPi(4=Osr&-p4zB>g*XOQ&3L!vXtCItw&FC^>S_dLSVPYevG^F ze#!0WCl7nxz!RPM3!|wd%@Hki=*Nh@=W!H?Nd*K|A+ml-k$cN}@hCUQ`+$m8 z_1nh*xTyMdLa#WZSSv}CM`aBH&iG^Q@Vj^KaG4E+TfGE?skiq|4h#@fxW0a}xop{M zSXF1i^il@ou`bM!=oo*+(8&kxQaC{Hta%jDnm)^G!CW{A6|#r$uNty!`p;EJVp?pr z6_``7a-2^HA2HA_gK9Ct?r0)0?eg?xMu!aKh0~}+bzdus3 zjS>5q$QwR{liu%_!SgRY(m>+o0qt80TKR=J?x_>Cbho+7;EN7B!yJin{oBklN~~_P zs_CwpWzBSzcNbXT%v4+*wYbfLt}cU(a*OAb0ICPjbYycotLnraR^~x&5Q4P^oEhOp zO|tke>Brehl@fVo=3vpJdegpR9iGB3`tub@PGd@Cx*ak_!ULPCds;y813=>TnOpMio$rDMyr zANTND?mgd>j($}IUYIw5k22h*>*4c2mi-KqxaH9}z{6R4kGkt(M)D1>TAH7^$@zUu zhxeM^o{Abg9Z`MF;|Q*Zld22XB}sDt3?T8OZM!1aF9-Du6}iL%U%yYlE6v8|&Z`uO z0p9^dSCAM2U*AsZ8Ck^4Iy2#5wVJ1l;Pz-5%X}kHJLHr<2$ClzYA-;h;|s>|sHA?Q zK&AqxupE_gib^31hZi1;&ERhacLyy5fq_=KBI=8Cfe?iDWZ-!~jSn zr($a4XG$CcNsYx_Fcak^68b!m7-hXE^;eYZPGd?yO%&5U7W+ath;qJb)UIR=JK zVD(N$)3TglNRc`Hp=*Yfoag<{Jg@&HMXTTT!-Ov9$0NWe!1=88Iqkx1vgr~&Ymn;ecX&* zxv$-GluOz*7B|VQ&PY_=*ho)X>}uDX&Q_WlwFfBrSs4aA1V@SMSEKpw^8gzxsxtdR z8PIt+k~2ETAr$dZWEg%=wOE(X2}VQt{j7jVF|=$91HPu98fwTP`KY}@SvCVGf_Ik? zjVP(b2;T=>5>CGx`6qhW-XIyj5N#jUJNkfU47Jwa>6Jw>#qEcpYM5p*e%lL_I&BjghD!R6lPw!N6M_Vo#<#B6-5SumJ3Op-|z zdR!QKL?84FF0 z^|dq>i1nFJC^E=4*}f(iCG&-OBL#Lq^!Z{y`g%w^_r1Do#^lLOCJ12h_Q&N5LXKRi{;kk7G6T~w)(oWOA2uRLGtmK2z4(ZJEA2N= zPuJM5ZSG&g9zJx}7)W`#o0fDqz96G)^^fwf9H1xD5x66c5sc)0Ovz*yp}ZmD-lwpN zCkE@g_h8fqoAC}qNjd_^o@D;w+QzNoE}1GSrs8x(`E=7d3lmvOy~KoKmt!+dPE5#2 zM5n-2r<-X&i=w2^Pb!~jNsG<18%uNm>d!7piSfN`5aAAvp>t(vK&sG_dfoJWXWq}I zHrJFaX@=#PYh*n}*E;~>XiJ(>kgW?&<2;UP^SCB`6h!)MQ|C`Wl5d1?^1D_-BbILnjQ1&RdL-~=xEJ`8@EEBYBGeZI=gzQA z4l)zL`w;o2Zf*>SA?DkIs8S7!*s3R==sE+I;1th@iq^bi#SsSEl*njM(p<)aUi#53 zX_R`FngzZ{hMyT(bO2u>Z@wOR7jKsS4AH%8O_*f2cDFTO$h>Vzz%b?hNc4#0)I|uu5i*5AMhQ zLD@jUE+%I7t{)QktajK%yWqkmN@pLluw_$OL2xG6R`gxqmDi z+?+ucAWM)H$PQ!=asWBln}Hlb&L9_%E6CN-*~|>&>fr!#BLlh9{Oj{eSbgl2nf0H7 z8Y=$|t6ACp5qN0yEFT3n{tMcD5Ik1Se|q3wBJY0?ypKwOO#eynnjw`kPcX|6&^Z?VPC3hl<<2es^AVc7Nc07j(HWr5tVa>AKL_mXs~e zpQN?gHw8~{3219{Zg7GIFH$dt=V}MT$KSBU$JZTcYT_~U`0`OPZlviOu_k97n%JQM z=3EVN-bJ1n@Ve~uxYKP{fn zD9k5k(UN3$=X)o}^_3qzzn`WJzyc}A{8g3Wa`zQI{ENs&Fl=Fhz|_8N*&N;C@3n-+k5w|7(ep z2j%+tZ4(!dg+XW-^YlJdWPNmP6Ydc9QztZjZwhhPdSv96LD=80o}-8}8fxHWyn)03 zPUWjv@2AHXN3bm0toNXo^_N&7!;#!6Az4`}Nekk^FYxu?T7d*YU~b$P93BoHm|)1p zccCOReUnGP5YZvbXI?M{efYoh1kiDb3!lLZcwRdMIb}8L8awbZ@m7At60W{O-#AY+ zAk$eRy=p*;M$FXA@o4rZmX%J4G{y?sa{350N z#~~97<=P<~VT7P}ysxisnhF}M9ZYB|rR5YLpSk)-8hR`L{VMu8C+eTun8@1uT#TRu zwt{Gb^957Lyunw-0uV6agw+=f2!(DIR}G_L%}KS@6}RM1AV^~`3DT3-ii2UP)>JlT8GAee{1Wp z{a!IY&M5SES&is+`B;XVTkEY>VV2;r0S-t&#tQ{vP);eo+(T(w`EN4V{fEE%@!{_v zus_W?Izzl)S%TL&J3GF{o||>hpBWGm*@IZ0N?~ss_};TsFpZB)?}k&HuCBrTYifju zOk+}&cX#(8ADz0Z3Nc1rrfHz+8ilhq40ORjlaOFl*}C!XZOQ`rU>(1S3VOl-q+Z6m z%t!FkxgKe-UdEfu&)}yG@5q8*{PoT`oj$GX187+OdcQcGdf<;8zKxb%XX;;<|1C-N zvFd6oy^G=1FA^Vd5dLiHI1d;MtB`_0QPV%7-Bde4lH_pZIQ zX1x$S88p7e?iQ1e1BbEWCau6TR(BA@8=Qf4)88*%@5EF{%fOLA!^$h1!C1>1R`&+# zqrsy}+d$7{G4k;C$dd~6?~iuayx_=<@yoTybLw+3`oZ>bDx8zws!S>1*8ik3-b1_Z zQ$BQN@VI;RBBzg;wYj;3am(8`zSGd*&HXn1YafyCZ!oCK^RGk=7T|IL{F3F%{aDm@ z1G@mj_u|mXlkv)(>EVU(kk`<6p9_DXEn?ZA@h7Spp~kQBt$T_?0`r`Dz)i9$19ktQN~W*d_6=QTBH^xD(&%_-pfYZTWj6 z=8rvlF3Xt76Z#3>rsrN7yPbY zjQ6a%2QqEBcW-~CL&vK_!$L;l;!YRY?+?kda~ERSC<45Ip1FipnRq9PCDRg@N#ks6 zA*>vov2*eG>v#t|vY;1plDU2CuZi&v4D6g%#Ub|uO)eeK^*6^)f(raj85pD;R_lz5ZO42oB1d>6C?GQBZpo*qui0%E2azN+d1_;aTq*OULd(7nWVIx<_h0@QCc6FdQdyUK zHk2nlwk6k3y&k<(L*w>RAP8u@I9lRRcAL^Rc!R#fHVRx6H145v8>-uX&X5~vLQ^>o z?v0@q98__!!dk#C#`l|yZ|KD&dMkn@I7ZhWHqe6wK4TUSq0gYTimmGUb}ka5;X0(z zXGTb@wpOGx$Xw^D(dTl7D>2;J&cRwQjL2N=5%!cTTGLOk`u1 zI~Uz%`w0C9Za~GIPf<=g>m+Mqv6)D?8fyNX#m4D zfUTy_HBffo_zh!kPo??f%`pO8d3Zej5j zXBsV{T1e!5&Q56Rk1;$pBY|5;apYqXY`_ej3}t|qqrsiI+U~CECTVAmzT|KeyFsJ$ zPQz^C5JXeT&8bnCgWklp|K-?o12cEBoM_2qx9dgBi%0|)dZJ+OfChFwFml@<{rGQ0 zFX`G(K9w3ONyGN>x8x4@Ie>Wv z*Od2J>Ogb)Wf{v)w&9$qMi1m~d1K9X0%IpS#n<;LWpR!j-IkXJ-^`Y^cAi1we5YRO zE=6;9*!VIw!mP~EfyZEC?F9{)GR$qko32?4C9XjPVmZGNS-=gDqZmmWQGYRS@W=CG zqEv)oM~WCGTMZF6)o8g9W8Pa6lL1x4!Wlk{bT!&sH?8T^j}N*Y1mQ91JDV?8_zKj^ zYYPp9m9@qp7*vIoyW6NgKXb6d=Mw0|n8jTkF4o#@d!BD=BcZfA{HlzWWY>jK8((GcC70}6KVjLGM zyOJWYrIdWvcG$bX!YV_0o6!`n9<|^V_DUVN5sWY~8(;O}bq=09-vc2}x(>Rt&y zRlrPgAD0V;Cxf{G{(CCfpY!Q3V{gI&I$-0QpMQy5}M!yAfQyBJP!*JFAaz=tI%6OP;dtHs8lNYz)XjoIC!Hdt2 z3&kMIw|V96;$c=heoa*7yLp6TNAze+%jiDw3EXo`$__tSYSo|EFu<1EWe%UP=m%u0 z=||e{KJPevLZXy@mD&W~$7IDJW7TT$qk;u>Vi+?@-!#P4G;0s7MiPzUsU&c8xQ46# zvVK|7%2o@r7GR7O+GVsWtg2pEQptcx}aUQmxl;|Kdx;YKv1 zKXmqS;@Bhd!721QL%4>}PcA6A-~W#2wC6rmBqXvFT~7|1+Ku?mE>{a3a|)Yl$;DHe z65&SoStNNr$e8S$8l}d&N>cbc^Y{K>1+9fjzYyZ`?@&U~*8;-_khUR|D|t>;k+~mdAq1Tp6n{!MOB41o*G6EzSY*1+1Vzz>3 zL-?LYuq!zXt>9?sv#h$0(k7jnyA$3mpDicG@see_nh(P*(664qLXd3{c3-!+*V9;u z5*M)-swA)Md>8kxi6aVIauB~cd3#VpSM_lBJ&l9RbpSSnHw~Z#N%j49t!CBkO?1%- z0wZQpkLpluJV>Dk=yA-sK_#ex1KPsasJPO!)0hr#f}2Xb1Ikx!bUnhF`7=z|+BeNY zpi%ayrmP4ef}AN|{zO@>S+`VEi6Gp!Rl5V<*JS_V4flXU>PBux;myi-3u5j#YT@}KHF zI~jtuOx>3dTn|*!K3^UAS>tKPeHxxur?A@RMt}_gz@)X&pQ5w8L?4vRHFQQEK5Gad>h9~OB)|4)>@D;ztc*>+8)bQFEV`%jesz+p-CG`EH^7pG0 z(p_FT_QZO5C-*boQWfUP&f*S6dj}&(-7S028i%v^7DYaxB_y}Y$PZcyi6on+Qg2dI z7f}Buc2Tjgu~dRe$jB7%!?L(P#dZ&rVkLE;&A~Y2>`@p7%DzwD1}W40_NkP}?Hhq; zQge)!HA*>-xtgb2WMRv0NklM}*H_bVwx;$|+-Ng%8JW-e-h!7<*gVd#XesoE&+4Ih z2e+bP5lLU&%w5eM(cz4!&OBeo=m3%a6;NS#bxize&^xvLm4;_`@JFdQ9)3p$qBNO$ zf;DNf|EY-JjkNRW!|MHx^(f5J@8gO5X9^bdnd02pDsgfsm}uQqceHH*gC2F?mXlRc z>Pc}uV(tR@q(G7xI6yxlWgGw27BLu$a~V@d5MAXHx6NHF2ZGTCq#dley3o);G~k2f z_U=%z6<12%|@B!b^YF+{}OzRQ{*C-M!-X6=Y5G!S#A|euFfsd2&X zjQ+ZI;=74lbaZ|wUc6tg+g*r|DUO4lZwLh5UXP(RFdpkyD7HC@v1~T|ih~y8d*ZVOq#!R&^*4WZZktm3^iyq|aOaF9kE3M(#9odMJZBxPXHhp9@^ zi<%EzS-TKP+J)M0rqvI_1sDVOne0Ezd=Hmv$VQ%oe|~ZW-GPfP=P1Vf_-kEk*A}-& z`K_sseu_aqx{yu@K}(B~;Nvh{Pt?Q?m}H$C8&R~lGy1^UCzCUu@Pzk?x+kz^PSiE^ zXpCoscNF@kVZGjF4Fj2GzsKbCrli(N@&zRJI&yDc+#(*e>RYuJP3aH3s=bR8L*@uh z9RuV}5G+jRnF77=jfSzbq8OrC0$W9rlT58Ii1j><17}-AWS=7goB}ZCiUjFWtEUiy zDsp7B&@it<4S{=@L>9&Z0YtV;5=hKWP6V8qrUnA1JTLafh-Kjr4#lfe7L);IT zCuHUWGnkz=!eM4JL%y{Z{f)y%)pgtKvxI>ByBOgkJPun}w4Q5Ws#j>zD>yJEfp3Hp zOoSCs28TE4Y%>nf=IQj_ocW`VK7{7YELpyVY=R^;r%&jkD?eMa)fe%JMH*g2-ZM0= z-zT6XPcs-eD+4~Xq~nPL)-~N%#&XuubuZdP)-t(Y)U*&Dh!Ll@KQr34FI}i=^z2#z zW7%?@_OY!?)UMV7i02yF<{ZTq(vpI|SWOI;9vbzq@puS~W78-2u$$K_ml4yR-Kx;{ zBUz3xad_982s)T8B>mNX=nx%d7VjRATEQ2yo5>DfQvts#OR9^mH$xYuZU<76zLPgd z91i-aIRB){4)29A8_-Pf6lCYx*$U~Wv)cK>5(WutKj+J+e|Ngc=362HA9!MX8pX1+ zNruwwTg-t0t2UrpMpx)N0Mp8S05jcgyhqcGH8G$$O;q4`gZLhIy=+#vwy0XG*H^-W zq-{lYZVaRjJse~gEOE}9KRIIwV0GN_E+4p-`lae;NKAy(%Sb~qGK@@A zR(v=-pjfp(^?ns&gL>JDyqKx`if%irW6d5UW)BGQP#dN9w=lBYKsq@=8q;VjF!4>e zIwP`hXDZPgDx~cQiCdSr67i$I)m{vw=N@p4;g%}}DdnM6LpPyJ(b7FXR=9T6ES8%d z5Cx1-PB9{i?{E2G9+i#^q_Gu5T>j||?qB;RGTO#*djsct^JJYIz>tM}r)6mB-#v<4 zo)36Tz)RVf1Y@ocCRx_siE0BSyGoqXoY$Y8ONi~Y7^oU{Lc~#+zzc&)0h$4ds7fOeXG2rdw z$aJqqv(+RkLoqeg^ECfZmzjxBET1(SL;-RT>_}sJX;DOV6DtaB=};X73S5iD zfr@QIY<}(Q;oX>ssTwWD%TGY)@$L_AQiXjeA$L!(GiGPz>87!;DgHOidZtj(IY2}d z3o9Jgd>k(A6)DJ^Qwck#Uh9 z^Fl`e(}F+;b|6RcS9gi$&#gN?K#3V&h9iIF%K8uAd?QQAT0rqM^?U~(o2d!%ja!hI z%kvMqa#&#(q&G#_a}<&rV`;ym*6&ag<{d|RIt$n93EvDhE4#OVxP9u=g9REqbeL#l%!Fi-^Rwv0p@LAIx40s)$uUl3mTA$bQ z(~_6KF>t@(?vfU)${8uOZ4Lz7rDTDrdgApq+k{unkhI%`pc*CmFA!Te?CDz#{Zzx zneu9-BDS@N5W}qJ$Vaq9q*!=vaVmLgQVX9?_94X6zwc%D`rPN<#Gk<Oqd%eeFg#Pq4!j;VHFLPJ$5Ekm#J}QH^JeOo`hS)D4sp3YW4z<*!j@4J}MOoIg9wt2H}}9SCn#-01}0 zk`b8^FtlG++&uH9OfZDGfCSoQ^19(0d)DicyZH>%vyrF*#3302z>%^Ve4GgGQqlg7 z@}FyKX!^)acmRBA$W2b8Y{lc^wy2K_2(WxcEkcK_EMtu`WONGoArxr+!TOk6sRW^q zMoF>1e4kHa%6$hm-^ee_mU+206|bC%Vff_Z+V|8frqkq~?6JBx*ZdyW#8>kOirQ)l z=2b+HpA*Nak`^&hzU2FqWK zEP6>4n zQCgz}wgE1s^5K@x0aF~g^7!i4hdBc5_@Wq!P(*218Go)%<_@HWxg$xeJ&4m`wBpJljhsmDm|X;&9{Q{5K%{RWnw2E zu&>O745~R1AW_Zhbs6zOPjlKhTzC(>Sx(3BO@xs$XP=lGMUODW7BXJL9 zEysKhO?F4pP~h2v_=4jKM|rXtCLMc*| zp9b7$3-Yje$n1YLv+&x@im;PSCLwp`c8J4MM%ghyU7Lh>shmGa>HfZPI^cKZ*t@3| z5o)Zfo-sYm>q|YD<5OzP0~ayc8zH8Ql&SSu18L>7kJ^sJQlIt*4!5qJD6#@tGg0~Ykd#~O|D}4B2dLhb_7>Q2q?R*lDPQC2WTcPK4nJiTc;LbB1^qt&N2&&@+?;)-vbq!6}Fr2K%@T|%Sb-G!K64P)DG{D_XbOdb|47)?T3i1Qcu zO-~v(^nXcWN<4LT>V=b&lu%!E9*_qmU{Q|XD+ib=M2LquDlkPKEew1_D=zOS)0!L#jt2n(;`T4KEA?7O>x&v_3cRq0@vW{>kc5 z5wRV6?ev$Ij87fx%jiA%fZ(hS_!6hxMSc#2oR$h&_2FxxyixY2ICPsPsgYGz>T^z9 zdT7*CCXg5S(yB%nd{w_DI1Pa!jntf&fr7yEyJV0q;|S}HhN$D~9v!(}1p67vGpzZy zS*T4OB=0RX_*kQO{-&RlIU(FJX5p=G>ym~ z$hVTd&^a=%O;`3^Ne>-D|4!I6xJFIeHb!P|ZBgGgLhGpQfKtCti$R9h(7H&7d_rp* zw3ago9s?MJA*k|(%=_Q+Yi9?hRpHB0RV03*lw= zWJ@-T6puDz0%B)a^V9IUnCi;uTu+yOe~F7kbU6D6tl)DcTr)j;9$t_!d?Na^Er)wX zfKF)UP%x^60tKacWSD%6<+&UraVIC+$Fj5Q)gQGR7nG9c6Z_8SyJ$PSD@wVS0bVcC zidne8>D0)A-j4$CF9}$f>~g)O3pPi{^n7zq!OA!_x&-gbXghwx&SB(GwtsI`A2iK! zI}Q}lR!#g3ii(Kn#O2z$tvE@J!{LeiM2a{@49oC7s(m)%vt{VV+%(G`npfOOCB9hB zf7aID6#JWShJq%t?k4AIowb0hqfuL{W|*$K{^g#Ry|S_OKz#|TqF&41TcP- zyLh5B`Z1yhoXuXXOT+E?*PCi4+a#|7OhkGf-P2BJK$!(X=aYUu_p zwx0)vG#D8XWT15rFZ7Xyy0-S6lcO;YMmL(mv@Y07E?ZDGWcBXoO~6>`>`69_aIzO# z5!A{}^i%><8T@fE3uPLr86w%tbqFG2rgR|uvi@x@A8#%9vnJbM0r_ome)N~tEU-B-+vizVFXJFCD z*%Za0AwYyTBRNk;cA^Q9``){hmeFYU9o~aKiPS%hZjW@gmYA2Ev~+6{6Qwo~zx>#U z;Hva)Lix^tIu%fp0_Z+W@sx|^YAb<_G7lfF{%KbvAW<$|_>z5}m2z=a`)O?U|8KtQziovq`;t{uh0=LZiENG;vQASHNk_m1PLA z6N(@aY)=W&u3G?2e7)6HQ_eV)z)@R5o*+CZ5Zo`X1?jEObZf$5HhCl^Zcd9LKWtOQ z;rJj|d+H$CkKTB$?dnH?ujTkn`Bo6Tag3S|&{{We|8Znfezgz)#R^isIO(cNj}+=6 zZ4I~fz>hY6LRz<|_3hHZEOgq`^?r(f)h>M-0}=$6lunEz6K{-%eDY@wYCoNmWC!Cw zr3R>x_b>I0IiQ0NrdIK{sZ7(A%)fa^4u!hB4!3K;$}B=Bv9$*uMii``u?_W4Z{^AX z#G4~ElIOn~%`W{k=fAOPUZLx%$g0uBKvWrW^@@;RBL|58mGFJq<>xcx;4Wimk$WN~ zkAqyLLm6M*IQIOKnqyTFT32*F*+d;J--t5djHH7aFbhUnF~OHy()`JUY~V!%Zd%#* z<%sGh{&uGy#y;nO9ZRz!cknrWy%%-_u%@2ONhQ^P<f9Map@f}Yt3&F}tU!UPqU8;j)|;BfFyrq( zlkTcyea&+%NfDD_$Z*$-P=~>*=wL*bd3^3AYHl{SI}2T=#0MjnC*dz07JN+iz-}h} zOe;9w7&tQ0BRjZ(nSlGIoQu&LsLw!xNOD^B`u!fFJSGkGOmV@kc& z$TjtrNqwxz8)_rh#BHM1Xjx$lK*^u1b7|4JHGc!OU#rEp@~oEhN(+6BY!=3-kz{F5 znUHpM^rPh5H0M=G|2S=IAG1nA9%B8+gP%VxB zm1Tk2Tp^ryI5h&zx~QPv+S;gMS|C!b<9K|C(F$Exs=7rL*TCav8kYSxl*3PlT2ttHf>0l|x2EkDp)_o?rq`HKT*zhV+~Z6B8)yXn{)5b3r*jH+`> z=?pY?&fXG*OrI9zD#zHa@HRy2Py7&^jEhH=3c}s5+M<$tPcdp$U7cjx)wj>JTJt$` z546G8+an(6;^w_4R}Yx1r{b0W-SuQ7F~oM|iyw~>kzt|{?~`V!4vSSGdb7HXzwN#KAY>fqs$-=wYLYT3QczuX)LkeQ%SCM~B(W1ucz*fC$D( za6PUSw1d8`YRZz}0P3SdsVq10ypXriRtM?moHldf5pH!+OQPQfaanrUcp@E-YXxgy zcLin~FNqgS0Y|rG&N4Ut%a$a&hx2JpzxT~j8~9RdV}XF(HxHL?Z#tj4Mvjc(PLawH<*e z?h_ImgOqXdT1_p6*aQ>CI3Lq+gkgSM%Or|C84%pFxjb`v>1TI_nS1hnx+o>Y`XqP3 z*2Swf{&?rONbi()+RP<5!YF&TErDPqC_7=JSo;A`{LpnovohuPFzHo?v<&^K7R*fv%SsRlT3x~POEr0RX_!-8$6 z1 z=id=qd;>(H8HRGPb$fNZ5{8At^7}E|>9J$i=s0b_+h-ed4b-QcGM+*X3oKrhdcALX zwO+jxUq%NvQ5UU!Tr+O=3Ip^;>zkYEcDhbjo3j{~hw z7YH5O>%{9-tW&}FEQ@_j5IfCkcayI;orz`3WXQH$LA!@(<7VKQ+H{)Kw#=%`RnG&6 zEh=q+Ps5{+}DVQDvm2w8<0T zKr-t=_qNXSe#<3DW$Zrw!sR(ty2{RbE)XhKM52$xAW7RrppfHrmts@jOY`Yam3_dh zFyBL(`^SB7yuJ+$@CdE&TX_wrb#?#Pp>{gClG%DtqFyo3h_6)bBWl3{g5iEe z{erk_(SWsi5cg9)M0)EFE6b|3^iHdPE_7vonQ+R9zxaS`CyY2na5ch9<9b}_P2}62 zTP(>%$r4E>i6vcs`{;tUd*AV&&i6Y zc^Oo3Ww-lJAyrfRR<>JK8o-7D1RxZnJ~2RLb6HSOaBHZRy^T@Yc9@}=|1ZXl4rR^} z#u?o}Kwg0em8(P|q}NTd%gtg_#rHbxg+7AioiNXQ#6?4?be6f)TB0tw_)l%D+r>Qm zt1P!yk5k5MNaG2Tp8OHf*>r{m`F_r^DM419lk4hR1N5&FVIUG@e_VtvT1k|uv9WV_IJdX@ zF~`EV80=6cHAsTH(H*~`W#+nCGg5W@1SkE8ornE%4DxRomeY_K*6JDQN#ujhKC7#Q z7ONyHa?8q_V6>f+ieG*KJmsg7SseL)q4$SFxeza*9;=a>_0W;^bL@WrLtTzE&yRo8 z@FF45pkjjT_e71VtDc;XFvy+8@qYQ-bV%`2D2kp_-IVt8^c>gkrR;?M7`7=8k8F5t z*19riZP8@`AIzbub&U5T8)ewfNpx(T%lLj)p`AyR0Bau>8<5l{^*tX1*Y``0`0mftv>F~PB;XY z_f`1oLqF!P3P++VGQ2<$$Swubd9)suw3k+ ztEUQ+;p29mN9WghD{&DMG5fK=aCaV_e$Z*H_SV~K>3B0RmDda9U6F&bM=2EY5qKplW zZFYy7fQXo25|u*4UvxaGWiomn@CGI(Tp9Q zZlm`T5TP*NQygplDv)Etvm=3tI!cm!Gq7(X4xyhosP?%)po2HTB+rR{Osu5 z9&2vp9zt%1$Dm#|kTzMl_}c&Yq@596>UVmsFXqzkb=i7btyA@c5VDF}M_Sw$GMFJF z^kA@<0VZn;(Qj8%2(6fje5|Km`ZCkf7e*=kjGFEl-?3e7O{<*ESSEcfe|*x43Rju% zCR+RQc3G%de`S8>JVMWU>^@MB3Ac%e+Lg7O`x|9NuX^wdfzWdyS5_ICXd`&ZrRO)v zsGkJKF&3qRV=hask6v?(ad7v8kz!492=tk#7J&W~dcq}AfTRhR5pgVDNrG;S5q18& zPq*$+GIXu=)irD41>ph0TyXvQc-_KOd#V93bc&-a%3H)}wPX-A*-x{&0G%>hY9q4@ z3TfsCZM#8%vp)Q%Q{EgDoJ(sQBT6V%KPj#{{ii#DromHRtM%}Uhwj1vll0k(33R4;~Rqu5n~W$Be`3_#tk z^zJ0==|EllLI&|C6umG%rl>!&a4%KjBG^0kQ!&Ho@K{G`=W!BPEQvG@OCo&az z%V@!gvB= z5sKRtBTT5adh@bEEj6hT_zU1OCjdLMPNz2H%${2-R6oNVF@6WEQDrC!od29TIbNR9 zWa8#^P$s7Kfooh|B1igsz<$-P8oOGtBRG{;CRu6&F?q3ycOr$-My|5w-QVDAZ6Gdf z@*=ArM3MSv+V-WOJd?QBrRuO^sXO?O1m;b@k*J@~hFXOlwQZ+U?kadFZah#@>$RWp zEi#L#PLM79rDyny1io||ew(F1JgmHbh`OKkl(#rP^F53{+*8a~J z`LwKq_qz2N>W3&QmI*W4Ium$0jFY8;v}!mo$qvrT81ZuI@dJs$IK=%zy$}Nv0X=D$ zcYc0G(lsj5--{FAZM%Lcpu7Wex>Xk4+E3x7>!YlFIiox$W9L+foi8@+A2CSe&mFC@ zeP|{^&MCxAOlES2{+jFDtU+uy+_8#pW=Jmb)6Ws75Sb=ETfwLwYxU8cc zS!FeKk#p`gp86#fqM4(nyL?D^43Vf?GSx`3(rg_tT@ej)s8k0byYghZ10d`%1SNAmR*c8PA){S>F<)Ti zJ+{`tZ+2JwHI;}0m5pw7PsnDR zGdgCYf&4XJPs<-}OZcMYQl56HO0@X}K^UK+PwBnLus7?HF{P}(Q_j%|@{GDoQmW$% zyx>xw?s^LMe^f_^bE}l$UX002B0{IBY!ip`U2(rq_Cn}yRNm7CwWWkotO^P{7_w{X z6g8Es65|rFpk)KbE4-?xDoEmaC3d1Q*2Nn>WDeAdQA=PP7iBu4MVnF6bmCjUu*vM- z%Mw;D{iwR6!N*WH{ME^)zWnX85obk_pju%_pY%f!#)$zG%fsQr7Yz9TRN5G5lf94; z*d>kUPDC}*R(#C-d?Glg{+sTi#=~?iHT2z|@^q3;?}AxgTcWb!1#0oGwFqzc*xk6SqC& zXIl=ACRSY|7kAYDUXXJDHbCkH_Ddcs@gB4)J`q!2yw_{d1cJY zu|r3lXC4?|9)=xuhSI_0Gc+-Kj`S!CwsZD^QX7bd_kT>p19!N?PQh&BBE;Z0sVExv znEX}c3KSSH?4Qd}`(k75ai~4hU}wRBfT~)t04cH*`zD$5^NI?;=J&|43KSc7w;4Pl zK)~qr_2qHN)EV7nKU6q7=ZHK=uF}Crc~$h_FTihAlxLKUMy{#V%md?KPq**4p48>t zIUnzl3o-Wth5-2-Nbc3b>fiiD_Km#w8Zr15>=pvCH`GEH;;ek>2GEohl=I7*k^r{?*CIKiegZhZ` z)Z@i=Co*jOJN6qXQf6k^nfq&~N`}x!iMpX*cnlZwk2u|5PGSQK8GhO3GG-)@riXu( z$ajkh|K)?Svy|koKWqnR;^y`7b;)>r;bSAN^`65p=1GUn$z#AnVQ>`+kRUa2-~fbV z;JiqYYfck8x)d-KO7$(EJ&}nQRf=Yaj}jH}HVF|2vI%Exn0po+k|=mY%EGm7xzv%l zozid$-ZJuC13VOmUBB4&3x7_o{S1Y@8BI8SpiM?9sh@a#khEIMS^eEY=_>*E1xND* zRP!md?Qr*vjb)C%<1C;r6K~(Q5Cu5D!CFLvm!n!2M!cX$usoX3@BMVL!oFX+Ui&P9 z!n17yz!T8sEZ3KpX=4qJDW0R=>@{9KqX%2jGt*^U57l4kEdFE^HAx*+oEXXq%n8?& zgx*nn;ucwwLm$THpRJ_BSp1TbZkZr}F5+d$w7uMBW_FP0OzPSf`z8C*jZ@+j2CSSBK;3`c@m zww)wy&w;Fu?)W_tl657;KLOC{H0o}el#3aENj#pMO&P(KbgR~@kJwat#+x8s6^IA3 z?k}_>X^{#}9B?j^E7dZRINSKsfuNLOKjzBy^(EepXbwkwl)t*Mrn1XiLn{(cRDb4v z>;Ds9svd9;Ee={vl$AuE^bpxX<5Dm|o2PT{Th86i)N2~sJ&Mr~GXjiV3i=G~Zx7eV zPNK8!P-pmcwJM@Z*46W z#c|{JjxodtY?|B6%~8U0l5Fv8VNPM)q=^LA={VPFT$U5C%e||e`UWFg^ZSOP|FlxS zXdE8UOj&5<290M5@dMKjgs;mJ#F8~LJcif}ZC0_FXly8>0c)lGCHKc3Iu^x&NuLmy z$9Sfp`gY3MCe@e@!qb>_a%-(@qKGV06{gv%#!u@{nD8~hf;}kpc2B-5l^{icE!)s@ zv|M)|4atH>a;Oh6Nno6(Yieg^49@?8fFB_>{Zt4+ zAYtf%f@eKJTcEDNrO8)cZLWK1aXYi$jd?e;zEdLVVpub{L=<0X@Z_4b4BC%mqrGuD z_0i8&<+NDLI6OSS1DP4#e)}u95OUi4pK|!04B~%FJ^m*b`y=c6f0x73vcd|QV*irE zAJLWns~l$iM-G348#A+hk;6>f|3^72{GWDM93=7KhowFQu^dPqqySO`DScRC zRgl_;CpHEdI~$qUn7P`TnY;eibLW5b@&`3G{10pV@6g!xe^6sbGiNIY)BnFX2DyVg zL0+J5|C1g6E8Y3uQn^_<{v-IawFMbc7!P3mC&>H%wy)(xG)2TTKl)ny|ERP-(xe$! zxH&$UHXHi~)8=IPZ>G)m!J7YDX@4|6eo(7_8Ep=xkLLeswAncSt)p2#qDudpXuG)C z*%`T7ef%@bJYE0im;NVs{C`?&uK%#sXe_K;A6e`F6?e+Q^pPx^N<-KfpW$ngiTj!#~ygGfm zxvSRu!rNR51|!J|QvNhbZs^p1swv79UKh+rn?eE#3<83c00IIHo~AsaxH0;uXNalD zx+F#+#a*bT2R?{E9wB?%j5K8G!o2}-7xGVvMDUNt=^gnwG%_{R`%zKTaOagslvk3` zKP_@-uG<6Hz?>hV1&{^2T8xIB%!k(Y@uMpwz>~rI5ABt)S6`?Vzv-yiFV6`3jaK zmn}!2Cod1yg9kN&vzGC99)|#)Mrf#i?ue+pAv?V>Gry2#N6*m2$`;o3+k+!`MjFqj ztwe|<3Nx_l`;uND%Iq(59{6h}9vB(H9?<``{^CI_yVH|}5FLGHdxr3xFh$74KfO4b zMo3>SWNLLY9m)`z?aA&VXVnyVICnU-wzM*_ytTiq9YX*&4v6^3H-&oN%t@>-EbeMV z%T6qwyudsHLcJ`s(Je`BEo^LHUEKqo^m4EyJ%z+uI7i^L7FepH0tfG@1SRZ8e8XYM^ujw#dmXeuqmLzxAX|0b$@#RQ+oC0 zrUri}kexdBwU!4*2SRj1zbE%SzC~ZXdo8^0U%zwy>M4BP4tWKz$p09d+<@4JdT!r| zn)9oRqlbGCwnCSNo_xVg&$gDq9(&{$<=?e+v{lh$|33g(K&HPJ+yAYVe{?o{Uj#v* zxy^rQv~&`)bT>6ouyi)I_-9)Fk*ogRG8;>vse+x8L0)|4aA2TE^DzSBKO4 zNd8M@`o1~;ol+ENY-jR&#h6&x0EUi^h8{5Qhy0FM0bY#nyJ%wS{;weh(9;3!oZnpl z@9p^j%Uic5<0MLv4L7V`3(f=TJ1^~U-AH)ct z7ypBp0Q3@n5Ho;Y@(+5?C-Vor=ac<|-t)=*LGStG|ARQ*^C|p6?-`W-p!W>Qe-I0R zUgZyZ?^g8>de5%*2fb%k{}1APM}~j8eUD=3{73m-n$aKhUYhZLe-P`t&e+c8{XG9i z!ou=fVr%;+-0y>?H~9;`6K47+!h2c&OxYiU_YTeez;|=Azu<4BJjUMw zn?L&B=5{WQe?@;cF#ij_*K6^o`gh7KJnSt@fqz-N%Pjwb?*&`^1>dQ&{tLbnV)GY# zXUq1lR^FNT6Pfkhe--#X_kZc%d$s#h%)7JQf2rS_vHzof4`%=Vbq2ICHT%OJ3**0~ zj{ij}%X`)K?-!8WUkCA zCyT#AyeIur!uxed?`+{{`q$aNci`-1_m{(a441#)JDIM3f5CS?-2NJbcW?K<;CpzF zzu-Gpo_~sakK}3U_)n_;x>Af?9N)=u{@11de&75L{?}Kysj0iEG0fteoiTT?RZVc) zeU%`N8}05m?=~jX)}f8ph{1k~!Z?YlA83Vb*iCOohp*3yTCxe+v^2{04b!ip)#sAW;fq-m55o zWnjU*nJ1qh6P_k%g%*+SfGM@oQYix1*jw#7w%EbAla&AnCdU~-*@>$zZba30B$7v~ zY}JoIajs^zHpM&WFcVsByH)Epvr58U;`~|r2AF}@v^er_>h^l7b66c78KAujO=`Ix zZXDU}fA&!?i##Y=)HasC0;rEV&Tib%8Mn!xc4VHm>W6?h@^ zh|Rc_$H1V)0nrOOhI`7I<$V$5Q(k{A*Re5!G;iaEtQ+(e#3uJ<)R}aCo`+?@ENYMz zN|Bu^+WjSaEt*`ByT-JBCa8q|e4`wlPNdj_e?TU7i*?~*kR+c)QoMI}zG66BC(y&{ zfisuxRIOaK^&4xhBH_+)1nrN5`hX#!ppZV!Ta$yW+tN}YJ+wnRwTJU^w=kjMs7)32 z;Os9QO8%K$PV&j(f)QW^ciXeQ&_={3DnhP=wvExB)Kz#Nw|{gMJiF19NQ#h>=P%0k ze@h?oeD+?{T@cCd#j5fbK>u>n=+9KsE`Lt}0+)uk8bzA6w+v3K-#*-^cJYcWgrlr- zpF`}q0n$7F^T#&f=+riemrc1&AxmEqfSdJeGPq3)8R7i4f6CQ5FU8mJSF`Y@HB~pk zx+UD|)R_U(_2Z$j4?@Hr+nE_q`NoeWe*k&KLqYl+XCtfI_C@_m=69&_ARU?rje{H-@ z3L;2-s-%Nh?5h!$8V8YbE&(Cy^5Hj?c(Y>PL)r)N%Gk#9zz83)If0*eooI=Em z#d`-HpRV##Q<`o?8uQmMWj76m_=vQZVVJO%ka$Kr3_hO6G6h1oG#)ftm=FyDlkKu^>L2M{2>9Kh-CQ|cwqe{=ucT!_m$uur@zOrRZ zeVCqWF0sj3pJ&*pQd+4Bf8hIj;7h08I6KFS*7^H#rQ^|!DKSSU?lyJK%`=_L$@q)6 zqbB;UpMa1mM{ezLdu=3HCUQE$O{sa~OY*mK69W0_Ozs2+91essCO<2-aqNzKcNo>^ zzYYoU3RGV|o)9v`c57zac|MSwJWSpV`jhsRcTNqXxoxg(GU?itfAp>cD)e9YB`roj zxg2B**`A6)VsOeWW}^c(^Zuj;-2?K zoWC%6hsa}hD8^peB%dwh9_!}3cI1%(2;UjOWn7JCZP6es>kGQbhKOX3f7$j4G^)>r zmSg6X+pZXqGAw?Ff1r9aNICl0mmRkrM{jo1n{Lxisvydg&4sTfNU1C&L%+vUf_}=1 zQX-ron7e+LZo^&SHQEJBLEN&S0Ra?)j3t7K0Qn9Q%;BZ9U>A$j-KT;L=}OCkv~OGB=&1j4XXu4yb1p=fm>T%Ub4 z%5NW5l!ulrv1qc-0@e!8l#tPz(DU0{#Ucm6OWGCE=?>auK1}($-+w5;)Yl%@PzyuC z7`(bx%&LS}e^Ge5aSh6PfH57RiM4-S!VYruvxs|aigQ7~_!j)tfZE0j8|uzf@ow&$ zzJM798#A`Vx`LtWlqZ(z4>bL%%pa%&TBVHmR3$ruk;s+Nvebh{_Wq&VUaKWz&;jL& z%;*PdYTOIiZ9l@M5#W(4)!BS`Fl13=#Hbqc1(b4bIjH3~6^`Wt zVx9D?PjZ}0qEdkfo-y4}AIo$1^SI`4WimXmbfb{YOB`Xt^K(jqT;)VMB4owcIY}gC zEMLjue*_oIaW;WAY#yMWrJ$q5EdQe>fNCoywVFV_M*fjMc2d_7f!&;`{ED za#OS0>*Tq)VUFa{Oa}?tILV^Zt(iAQiW_;{( zE-?`xzANGYnY5PsJQE>Z1)4R@o!j+mCe9{6?2!TzcHyhsRd@j?_r_+v$!l59(+Oy7 zIpXs=Ra-dEKtXoGc0WomN(s1AR{H_hjRXRa zW&qLx&B=>nJAl;D}L`tXQg`Uql}0$pLOn^mAnmg7QL@NmXj)TBO;dE1{^B zlIM=K9rIJ4hcs{cQBE`+Onus_7jWV7BkmS7KPx<5!;Fi8s*2_uU%h@kpOy~ln*Aj4 zmAs~&4^&H0VEmKh;)XM6?#^3ce_EGz5hZ&cS;uph#W*k>t{Ur5lYC~`u%|(#_kvu* z(QjrwnI5PQOaPaX$wr5TqDT~gsoBpOolJo#ub0E~k`}99Uq08fPBmKV0situKQWN0 z%+-ZjHq2Aa25s*5dJaQ&-8z$5UuoIPVTrqD{7&MB;%F=QcIuRX+B0x& z(z&f1pGhT?;j!=hfZX)S1_jQ$**CS0-t`V zWP&A^KiJp_%^jA-K@%jVyAm=y95jZD#RtNzu0Ng@i>@1LhXtD5E4Z`xON3;hdH?#T z{#?hsERH{iil~V~#4L2px_I@xcfTp2Lo{8g4SCO)IG%iB%qn-!e_3MB$HXqxD)e$y z??exN8s1<|ab4_=rbvU}%%@$k#qlFf+^N4QfQvwhgj1Idl`QPh)}w;bvK}kkb@xKKD#+)Vd%G;p*hGyv&HE}Nl7Jz7_ zj){o+*T=w>fF3CL4*BP8XF)j#S{Zm?Vnh-@g#H@3o_@%(IcjXZZwC56G{Hh6%WQ+tB^OJXCQKC@O*Q?0WR#g1UTEs z2s6fM^Z{6ZU?;&i#LV|O{LByF--(#?WE57W?!wJgVC?n9Hys93X8HlkTq>0)yEGD< zU_)^+T~7jqf8Sy{thh^WYcIzt%?_&mVjAvE*}J^3W*9D!SiYgvJYC7+5~=Fb+26O{ zdtC&HstTAQ98J_rIQ|9lKsW;`2{og+TQr@CB~5x@oG)70bd3L$$}6-uCaH_#uMEj} z2Oz$hZb7m@7}Hh^m9Z$%q}>hlRd#&!Fk}VDDrkxqf6q>G<8yw9#MS*!JcXeNf*uhf z!0I2nx;{mL4RfCs!v~w*8`L-~B4PD82XWg8%@HloeI*fQkFJMrX>zWzGJC>0sba~d zkDDy9l9*?IDW&St^c$tw)Q*^EszKvmBY)1eq%nwqvIJQo&l^Nr?CA$nS0D%nN)e-3dNUv9^~BVp(SpHk zBJtuxr1E`oGg+spk23@s>Z&h3&$soBO`3i6k$SNHGxMZYKL!aUI?f{GCElQhe?$@J zKQu&eAAGJEzx0dQl4^3zMyLtUT_J`cS^QY?e`%Rq*n&TRHJK+^8S@3N^<=cF`$8bD z95Wp{#jvc-pB6P)(9bwL^w7pm9kfoC;xZc25u~w@c-dfn5~%5AwQRubZ3E_tS7FdX zE@_kX;n-I;z-^a+#tR2GR6 zf5XLNg~ahParG*}1;)(B*rAu2wcEp&@MTqZHvJLjzUvr4m8KlD!#Rs`&yq{xGOI&A zq;Hv`Lf=$A;1Ewtt>e{U5)z%S%;jLCk5-&3Z1bYeGbke@RYFULG+On3L_8y&fnmPCm&vJWSLSW@vfZ0UQi*=I{ z-BIeziz~VRpe-FT`48po9+B3uMH`Q20!;Qe^c*pdy@_yUHdcB59aTiv7@zjs^ z^^1%JPoJFWzs|tEZbXi4ox^Ofe5qzE2ZrfCiSYT@LsIXVm6&r{kl;NQ^@HjPf1Jra z7%b@hY!;WkF97KSj2257`U#9Wa(1o-?O^Yd)Yp9cKj1r(-H9y zPvqv7D>`z?1plK_KKLpiPS6<%?ufSJ8x1PuR*PXt-f)Y>)&!^aOrgsgl=40%r*te< zFjAk2bg`iZ$&Q=(a9o3YkoFdse|rRu!(dRZ#|KG@#J4spL{^!?63H&(dLM5K{4SCh zPmwJY)rp>OZmpV;)a@^2T3q92`+XC6{b`Jym-RM&i>6&TgP;a3_X1$p-XF@23DD+N z!VcFqll=}pJCT+k6FsLgc?J-EqIbH1>b}Hd-aUE?P(9?PTLKTZP_o6tm zStMs<7DBz;*0C0xVMhcVf4Nww3f<;8b!Gm`{DH9eRm`D)G=WIlsvE4_-ew?H2eyS#wHiT*sQ_e-@`rfp5J{ygX5( zEEZ^p9v3De4$=MEBD0m zAKeM9O#C%(Lyx|xf7qd}_rvflsKwX*Ph}4eTKHw2!6L=Z0&vWCrpPI&nFq290(@4K zJn9w(JF;zId~fqP!*9NurW+?}B2u&7Ni8iJpM0hYg&o6(Fa}hH1cwe_%`jiUzURiq zEBJ6jgcD7-N#9NrkH@HFH6n=JJ?iXZzuf7~#DU{sgTrzse?xb9|55^o==24`OSsIi zy(#v;OnxU%S7aVC;&vx)iXvdXB2XUVDzP#`I$0)da+ZquNY6KtE&q0!hUciZ7SMy` zu3Oa%*~Uk?b(Yy(uj_A<{s7Ku*MX?LpFJtYjYuO$Rz!|sSsboYEvMKmc_}gT<9d>y zi(iH7L|{See+*+^?hW>%vPSnRLp4tsWvj)8`W$3HJBwMfm`I~V^fKK-tqK^Wo-)J~ zEq(~l&F6&%HcU&dNfd2T81%aG4ptL3QVGJe-m_=s_MExxrw&ZRg7eAitL7%FK{SS# zuYRg~cVrN_YKC_NxkhaL;OAg*flsP)h-{LuIt0nVfBP%9e9v6NYWh!L!+f&^oK%tw zKIqf+Iz(OzXi#U&s!N^&7sD<)VrgdJV~cqqHwx=TBb1`w!Z7KnwB1{Y_K-X<#dR-F6{wpa4F3(&gs zbn*@ffAq=ilbNkkMhVa7@;57)wQVcx5oA7#o;z2DW$NR_ikZ`{HECRkdzMPmS*b? z6|aK+_6d5xmwFXIo1%)nV!oV`c47FPf4p7Zi@H2p37yHnW;wP1;r@KmfuJ6C1;)NK zY{iOjBR8q@%Gg{;D?P-XGIf)BH@tQeN;Uxg2+n$3LWtOCngNEuSNs81lsl>fe<=Bb zT+&amHngtm9TU6z2aA4@1rJc+MhRv`;MOk8nEwvV7=nd1x-c0$bVGk8h-Ietf9va& z>0zDode9eQ7TO5nim*-m%CN1HIiX1X(=@5pxB%e;yu`00xDr-pIp?SKvtv`YpQe|3 zAro;TRJH}In(}B3Y!tsLaHx0UK?)AKer@~?rd9tv0FIsA&bGt|0R(gBR*E89rXirJ zmwG&lnxa2F^R9Z{ou(j zNSt9aLu>#W=H_;;<{0BP-%#`9d!2$ma2OFrFsyNYOO6`z5njuyq=}X(X?T1E@L)z} zZRiY&ux)9JL`gu$56PNYvktT5OG{vrq_ocp{1x4_!M3yKuuB@YiJ=FQe>gW6sI35{ zNeDLt|G?+v>@}AUM;t5Mlf`ChkrJj)?c*osCiKE{&uCrK%3UnU6Th&bZgRHYH1JlL zpl8?kIN2-q+%PJViRqZ#qdcWXrYe2-Hj*=t(+-~P<@#8WRwc=26kihKS06)#E%3Px zBIIIRr3{c)r#flsqef8zf8u^h5{8d_A=f9!1e;hi)=<4@XK;Js8nNJw3E7GcpqNPa zIN^S|Y6k|c-R!Q}D8a+3LD3_Hx4+E3({34BUIKb5!>eBLB)_4cO?;6-BYR2ePNvab zX?*ZdR_JW!Q*CAA*;iHOtdGziQeC!BetB>XPVxE6KvSD?>({lfe>DUbZ1B7BQoJJ` zaf~qv2U^7lvNxSg_fHwQ@WhfbfY~jha4mJxEfDc6fZpWMT|8o*z8l2s8b|&oD!6(b ziK!NAe18I5?dDo@;DK_UKynKC#Je0nzNHuvtmFbUm6c3s7lhWMO} zYv;M7kmWp9a5O>vf23bu9hwk)MrQ}Ts1$f=r;j9eWN@=a=2li?knbMUAnkDB$k(L! zjM5Ox_B_lQYzQU{4sUBNOSjll9$Uy-y>8d^XZms`P{yCcon+?r4~vy;0x|9tMgc;E zDa}f0F(B0o?khW=1b8*znn*Cff8e!8m)N|;EAC0w3i4_jf5x0yCpSNYX2$`AWcQTV zpNNKdL=RVa2S2@@Odx2>9Yji-TLo}}r6<6oesrDs=4{FiCtI@u1Jf>6NC8%L2BCek zc0~09^J&b;8A4B^JY9T6<4a(kg&%)9dO1cF>k36D0XmXrO@?uClA{KdNtJwT z2jhNC`dPQ36$QOqcS+&D3}zZ#K8ZMrr4EUiF?2QcfA;8ZZd!;(XZIXgcVI72tQ4Kv zbK^AMe%vKhAoO@O!rb^KMDP5f5+qoxzB;Qu1Qrp-w}qGbQ(9tYYJ<4>TN0+Uqn~f5;lT3Xj_6N?8me1-YJPP15HIYS z*Q`x{R%X1JDOCUfPuX9dhRl2ozICl>1EZ6y4$RLr3ES4FI4{WRV~`T)iQ8vg2&Oj9 zuKeq!F+&&XdVldjs-_sgj<)185X5kFd!mhhe^k3%bb#e}4fNp4WGmF$ic)tpx4m~{ z_yqZib}ILrXOykXy5nKQ-Ynt3;pyzUv~@3clQwHU7l>Lb(W02`u9ZLOw8Qtvir$nM zF_(yPkF)+VmI4VCAvEzb0|X3{akZ4!LnOGbNIM!NY3;Dt5wml7g@t&+DW$(Q2)uV@ ze;vz4jCwhbZPU81@Fz2(I!QTCckfYSVpyLydsE@3zUMSc)*?vCi4Uk>KtvqUcP438 zL9@2_b95(32t{^Y66ZSa!ZLzKEeT!)cQfMEP|;rSRu0l@oouLvLtJ)NMc9y{BEk?8 z9!p9czQdPB zv;F|J3_+YF&OSaFI`%Y8S7MMm1PKyZ<{B%SF*D(hKKzs-a3VqFKhet}8K<&&e}b3F zO6DEC{*0;U>8kQtQSvMF$V)u5KkiG%^# z6a5>E^%txj!)$}S8nNg26(|8I8b_Gr9=QQD2`0hQ)Ry?kVUek`~*;*8+fApA5X6XgR zD=Cr_*%#?T-Vlb>oicleipY{eG+xRmBzbV^EXoCd&vS6wah&Ys7d*HG>94a%3}*ZB zU)w2Zct(;f};R@ z{@^tR?P1P@$C^VGI^Pote+DI`ux?a;)lH;!cpSt3cx9i$Ic6!fNWlHnFq7Db9r-A6 zC@ax@%ur})+r0sL=uk;SL*nA8GI34vz(4#;mJp|HH3aii`|z}{kteHqPR11YD_q=a zeQCw3$~K20k&}=B;Ft12nv0POJ<42T+z}8jmska2pUJn2I>DpNf2OW+1HrPFz6UAS zz%d^-craXsb-DP1woFddWod^QEi)<{W*lE{`#8ygu@CEXD?J1RRTmn}L?V0VPTk5m z9w+vw05GzP5eWqAo9$Nl;SF)#*TCD|{Yo8J%Xifh_&qQ0g_rd- zyryX$6ERzt{K^s%Dr1JNbAE9vdMx)4KZQG@JjSWN4&t{Q-2NOeuRpLBM~}N)Cxhy7 ziYM3_GtJ`RP5kwk!Kck^cNiNlw4j&&Q?WgMu z9@OR!_|@PDe~zd$h6~=`&|mL{_X$Kl7t%RbX44CqcP{TzLx9Qve#9uJbUj#bd;<#+ z8Cy9cF%l|;xYL&O6Jx603_Om?4%(jK`Liv3&8IFbj;pIw<58J49(tr{W=O<(`4_e>(2K1-7zq(OVd^fy;KQF{(kU zo)5KB58w$EpTwLx_MY+w=we{?ef<2;x1Xr?OJ^zKvuw^hED=zzd(#eJ;dCy}{MPxU z0=~D5;^*m1@zYnmV#F~E8x{die%UJ6g1FLT=V=f7f*~N@udxKa`kB*tA(i$iY^#GI zW5g8Qe@#{s=&u*q<|6X^o>XTV&M^%L6f7~BN+c!K{P-BH@}2o(uA5yui9+nriyT41 zErL0ae2ix#No~n3c3+uX*ViEl-L7j*T1zZWtaqv94;GUvF#JT^y*QhO9as&H@bfgPcAA6uT`=YYd%A&`TecY{ z&u_@{7?n&8tLY#8%_<&eIT}RbsjZXt-9g~DF3YHi{1+`XYAcHp#s+lN&1lz9P$2|= z!jG`)-(--g+PAcQnH2yf{fwPtFa(R=f6)^&0AQQ8*K@;`{|4V4 zuA409=4I}*b17*p&m;$74rbZxnD5FfCyEp)@D1N~+5QQL08Pdq+=cUiqOvZQvP{L`!Rj$ z;ClflZ_5Ttm5g&2Tf_MeYE+GOBrfp*nmsxX!a7;Y9yK8-tvUXkX92j7f7^>Qc^4{8 zCvdt&C|u4a+)W~o#W>i4Gy6&uk}zs|$S2QO%BLBn z-kDbN@d%>he4Gdsc|xsr?YB=ennIl^Gdn4~zmz+Cbj~G!o@fe@TKmk`x5{)gh47oG z^qS>OQu@_a?dKPv*IdR>;k4=5Xtt#jLf=4Y!YMcgKH###LT8fIe?P9hLa|2Jmngp^ zjdFdkezfo;qPjNIRwhj?py5oL>1CcHa6>~LcGEv(_WR&mLz?~}ictWfO^ERHi-qTp zk>2=kj>@4fp7YJGm#)D^8a1cqvUuLYjOYEleoMX*4m<~CIl`Or4~WMs&H`o9@@R}f zoVY=5gzMVuSHShUe;cH-$bqk+{>n~wP>5-|6N!ONlXC(tJE)2bpUine=#-w3e5>zS z#v&;nIZBhhcre~515NH}sU}GZ`H*pY9Yp9?Pa+i5`Bl|;%T1Qkd=RTU7YG^!l|Kx# zR~)9*4AN@2H0h4fh=vyE&vOVCaN1&Q8ja^O zp4kjU($3`}>|()b^Xw)bsV{<``#n3`(;Z``&QTMDoyrwYyvCCej_Ssj=Gc)5*5!|@ zbxtx2WnrB}e?4zy-1H-PfR+zYe(}eSCQN*kg1V5&XJrSDBjBNiJ-Rlz#-dpYh4iJ0 zvN#w6SQZ$+U>2xewV%heD62Yi5s~nNyli|+_NRD6sAo3f8u@M5VxJu^oMAMgA~7 z#%2j_24~rQHhJkPyj%ZlA)^Ztsra`S%zQtR`Xgo(YCG}-cgh|;mtHVZP$ogvhjN>VezhJioQcB>1Y6eNv z&7(Q;Md61GCh^r4H4PAM{9l%%Q$##^s;GI=e}9(Xe1zV!96e->G4|NKe95W35QiK; z=V6F9my0OC@jklSz0F-4Mk&&Nsbh%7a3{8UO8|&l)$-_n7U;E3ghV zd}jZw>02_Z%9Xe%zR))R(q2l_TbisVW)>XsO)?qDNWMh+vi>>uQZX0ohkT*}f2OPD zp&@R_9OZ(@^6Y0+hB#8o#{7FI+0GGUc_hsfGm(CfO@%Z!d;*C>H=s?9D?97cRWf-*t!fm8Kn(L`S)BkWDdW853ybPLqjT~>kGh>!>juynmleeh zh*VPRz|zYFR7&Z@ebJqSQpDo@f1m8)M7MJm-${`VkZVVil=h5Zj`VZpyP4v6sWn!O z9xB?Eh}9x%T9BO{39ZBox$aL|eN#VApI!mMQTDcuNf*T|n=n3isZ^=0@Ms!?J^JD! zD{`xF2hU*ZKK6qAVEPu{XOkbUnZjtZ zm_n7nUb=o?$;_a{xGuXG?op)CayBAdrBGuZ?OUxWIFWX&-Uxe{kDdh%xD*6VfpgL5 zNe_2xB8=f%IhDR#?o%;4e`zSx&Kypks_FwszfC?DDJiVAhqmtHu@uCtL%*g@QX0U- zWPS(Z;uG9PL7ykie!yP(B7!a`M$2@HAo67vx+5`vyEpl}J#5f;2iC8XSiZsYUP_>fr@<$?sw1144fBQrD8EY+W-?XOk zuJoRPI){VxiJNxR4n3SV(Ymhm!wv~Y^blP&%%q5idTe~%VV@`JF4K%%GABE~w{z9e zra?onS;QGY&`h(*@u)B?Wqz~@wG&5ZtwnLJr{D~gfs*{t%)}3TOLF~d?&nQI$?WYP z^?u^_pK@Q+*P-0Ie|cDT^Nf1jb8ZiZsy~buaxnzek{WOIXI3P}d4960LXQ>gCK9=A zmpRYRb}eJWpPYN}>{e#q20K2e);wvzUp46BKOs7*3tVT$q+9#y=kv51b{U<0UQ)fN z$q@pO82l9yVg;2Ldc^g}nR|BXzkzi~lCQ6+v!x9a!fqXuf1zcbu1TS@jV;2)Ep@^F zAl$-?-ZB2`1p5M+e@WkG(l;fKg`CMa=jr8JG;bDxl?D>qV7Ma->bP*i=hw{Vo(B^J zYxqVLoGgMI=s5r$y;UaXSDMUAgl_@)@j)`xmOM{;=uDH-i@ZzirKt5olWOQ$O}khR z*4@GF2(Nq7e=w}r2VxQneX7zcP0=iS+y+AQ5tOS#stvOj9Q}jjEg|Z4*Gkzxd zUIaxfmc0d3aXR0n@9+fJ5R*MYke57X?JR0Ie z&G=>PAa%gf1Ao+^W?_3beEyb&z%OoDBnn$&E_l&P({#Ora__+Jw{7&ARwg-S$WIqO zG;DOOe@?6)Q|4i8uDihQNh&dDn_V@Y{G_aRj`|3;^Ti=W(<9(pb+{`M%5=L~?%d^c zHfk6JwX7PmmhR4&#!|3dgGNq%8j*||BhRil8va8juiWPR!vy3}#Wvl$|(!KKHAuyJP%oL$D^8vC%q%6%YMH_z5jL-5k%o)y*F=e2X z7}k*-xfkw!Ch-)5x95ZM3gp~}mXq-`jWlq1Y_G}Kov7$NSR1km0i0RNvFQE)bpsmo<~gI0oZCUb8g9e81TzhNT+Ei6q0m06$6~?qao$72C`is~ z1`=JsvAK*U;{%4V=L>k~LDu(EaXcrde=pv*r)w|Mq;Y`>2I0s02e9bMwF>3tlS|ApuC7T!?r~LcwZ% zHjMigarJQq4Y~r|ZE;Uco;|%C?0WUC-5N5SCS}(^q^nJ*Y6g7h=F4u!d_afee`TZB z)YC4a>Xip}bWDL2GBy=wx&%slN6vTgf*@~^IlM_fQC@ZvRs9FeyaQYN4SROP)NH@V zS@Ia*&h@W0iMJrSLpK6$T2CheARPhKsmk|7-!}!XGbj0==+xbtqSIe2RD*mP zzda(;`8NAG9+xR}=e3fCrsVKaySCXbkb8dC9{cAlU3adR#Bf-U$cL*Fe@i*|pVUmS zdIe*CWJQTx4U96AF10NEdNI4qz2{eS-5`6--@2_yOTpT)J})X**-zP^7GDiO9J0Tq z+nnx_M>AFeMoE&ruw1{%J}zoec~>!C`;@u{j))MMrkYdM^P!dr1KO@0u<^e3E>6Zd zTIvf#s;Y(ds@%k?1#o)Ke^rBN`56vO`8k}QkI9A$^A@?!uaGUwaBCwlugbC#$1|MB z$?saqKtcLX05^lzrcKLrkV0)iP1f;cFZ{aVivt-l90eY8r7j%7S!#>=TZ)Ajrf3(mJA)X#-uq24j zXIO)?WAg||#ypc0?n#8)PDlF_wJ8cngMa^3lEy!w&tdbR$w!)F@Zra8Aj}Zc3n0H8 zNQ&wE9cezr4uZ`=JliEga+viHo^|9I+BOYV=;w#6X|ce92_5l>F6|Z-e;Rdzw^pjo z=BgLz>ig^9f*BEMfAyUL!P^v}+Z^(TiO0x53V0>U9xY>ob`po0{t}c^@gRRbo=Gcd z*lA{vX{|GR!T85C4YBW#lxQNRLoClfuH%po+l#)k<#vSX9INJ?L_Sw{NxH4Ut1dNY zF>_50u52j&1lQAoj0a;_nLkM?b%0IgH2(+@<>!aO8P3@We-vKjXO^=N!X&|~K|<3l zBbpr?yM;1n{y~l+68~)ws&u5PFMSPKCJ+z4x8AP^M3H{RC2qiA4$a309@UWr+as8Z zB56P*#rTS}tn_PM*eI2TUtd4M>zeYYZR9!*N;$!kl>Y8wz~GJ#W%5jCLbq?nUiowx zEuD5$D1CcXf7|UME01YVp>N@tVb9)6S_|&WpsP^&6167_B#MO#h-|g_ zzgph%YT5HH+~@}8$*N!Z6!Q7C^b&sE*W21&Iz>C@f6>V|-hgXJQYFwSh?K)PPU()$ z3$O6b`G^8t+_xS{Bqk0W7O6b8erx_$B{yD8IcN6!Un>lvg=p$BvK_= zgF?E*QdVMPW%b}qsc3bpvDBed(0MeCYOKepf0A@n7kb!ON^Y6X#wwYj;@&&mw8$)8 z(R8sGA%IGJe-93rjsx;D<+Y z^8^&A0h55^2nd**$l~6H;xr;#_9+xwqO7Zr24$aprx)bV-k6;{Q{z%OVB40*JH`e} ze@(#-=pl-qP!Uuv1CAG{!9yg z^|E>ZmtTF~Y&^5n;$weV7Bg8r_fB+ee+WK(T(QSE3MkmDnEqJS9reXN=s?}MsKWe* zU~NmW!O##?j{lPxQ!=KoJc;dF0t5zz=9#-4u0N@-+gm7q{scn%rx8XY(ga!2>&uDL zqB@_Dop2nrL&AMW!l~?FP`(cf=CzgOUNdYQsEMqXhN7~k=J69O$l&{8`k)}^fAz!S zXT@JO`?L}#i25SEL5Zifxvg17CS%qxOmR$@d7Y%Md4^f?9aK74TM$1!zyO1bBnY1U ztE&nx%a_a1!_J@f4=AwV_7YDrE4xA~O2ttj58qwFDoSCmBA4^cMXhL;JF>WG4J+U}tFeF2^7v88kItNHlcut}M5)zX|qxpjU-LB;c^YSF3j}Jf7d+}z<7QC1Lr>X zXiqapL}&e(1D3`nBPTsDW0a2q&3PdNX$lMp$#^KhTvC`th5jRm7rhsS(096xRqh%k ztMuKP={l4Z*b_F%a4+8L-n6LrkXY+;@@W60O0=vXfzpGjBv{pS#J#p~o0hZe*f6rO z%>x0AkMl22E(m4Be>&AdXLEwkqWfntk2cl#b0ao2fWD~onFKD9Ba%rR)}b%Sa$;|T zYYNhJaYiHFvHq7>fS0*;1?%2W#hYevv?%s1nO_uAZfsD+sMH!P4wl}ds{J3yw6BA} z${%QgAtarvpAQ)wGtU|Mf9Njj&YFZflxr-`e^}Yh(zNA$f9@ej85};q*qAtCa!hjz zo$CaB8et{X?kjfwX?aDZIN%^;uPer9$x(00tBX=IeHNAcv=lmk%7uL*a&=VFa*>q) zLk)YRtH9!dWaBbm6labxl<`+JfAvT(9`IyaY9woQj zTemMyj?!>^f1TSc>0&~c28YB2@9G!Lxojf2(CoZ#A2R!`(||pIbg?do9v3qYE;}tf zI1mVwfiJm^huERaFQ;`R=`I!qfwQsST0~ARf3lh=h&qfdx+`}?hftHkTkv1e@o4jU zAt4UuV1G6mh;Py!TEDxjEguuCwj)-|{f4Ox~|76kerFlUl^ewP{&ANxZ z-Oq=#dpvs#`yq;}&58E*lSs>rx2+27g|)ncx+(q_D$ZIlZ4R9=bSkBRtX2d113uCE zM?>UkL9t~kGKbOm(#?n)$co*t$}JlVyHvw6gLSFL@;t2wp8}|<9Xov#F?f9P2gR*S z5`ZS`f5(oXfqlmwZE`GPiYR3L2J4FFjVcriO;~xgSI(wP&&(K`FH3A;-ibKs8U|YV zzO^i|IK9J?iTdq0X;Ak;bsyzWBD97Fg_Vkwu7wSr#`54v(`A(t?^f#Dj~SFA;Z9h= zY&Sc^K!OzugoGA*5Ry_XK0X6fOSYF(j1V?Uf2@Jbxg{i8MxPJ7hw@jwvHX_Uzgnbn zHb6;rw@>kg@HuU6l{91Me<$iyz8i&HrJlVdvzX16=Sh^bv)gYmV$P6)mn+f}+bSGf zSCovY%5DHf?!zu$I*3YeRPq2Xs1&(YKsco~o=s=R&3vx9Zy1bPdl>NbZxRq*19#-| zfBE3Aau}x7A=TBELj4le+;^&o_Bm@NRT_&)(d6};+ZIm!g- z4=&hQ#IuU=s<{xCOt%5j-FyOFK!ay1?gz5hChYoJO=o>E7G}Qwir?dCQfJDgH*ac} zHO^yi{ccew4nmNy;N`A$82~i6hN`ASe|OF(J2pdKEa|LSGvLW(^sqYid>FGbJj;5C znA$CO^Soi+ir(19-Bj!!N(|N`-Em9Eg&2H~X94J)>Bd={%hVjq7SXm=&Md zylFP3ZwD-@)es|0Il8w6Ce7cIZSa^wUKv6ruj!EBM1T{T6+stHV|{pk3HYd=;P#om~kyRNvpP5TR@lT8y2F**B@|d)ZUb zf(9d7W^Ac0St2SF`V~oq7K)<9np9Mlw1;d736(Z`@40s@W9IjN-{=3%(_`-a&N<)Z z^Igt4Gd zf!ZrfSIZ2}dwJn$QGlh7Z=T&J%R}2wy+Q}$q#eiJl%_SFEmtbm@eJ&BI@Equ`60Tv z-ra$Z3gxRCpt6mN8?(}k>5tC7ujc);=auruu;ZmG4tVn~KtEp{sI14Rh}Gd6i`7=M za(Uezx^1Uoh~2ZM-M;rF!q1-mIh={#wtLlksBot=$#B2jggsr7n3KgA@bR$OByz!M zi(3yJO8G#%rT^iD4rXCQr&`Q|4_1KadkKzOW zZc*6N2*Y2V*-QL&Tz;}FAn&r?{_B)=J6GnK z|GAP6)wDVF1ecZ+BukmE_o4rJ;wxO|nbd=_*)68%R;LvtU~RuY<-MbKj!^1k!+|dj z6%FpWTUThkI6uNmx}da&JmIb+n-*2Q>&3;qg>OEDoQ~~U;*ih3U3}%T%ZcL}s!uyI zd2YyD^QjY3z3^AObKd0)qo3P_PhyXid>Pjcit}=Sj&FQ(`nIjLZ#8bP11puhdHJMM z?MP*~-2(lO-aTQHvNes&{GP_ZGt12}FLJm3w%qt9%d2>~kWmfp?YcX)qqf}+YoimB zj}M%^tdnLlBBr5oc#GGS<|JnE@UePE-Ai$zQlGB)KbEHr_?CP-FLXOas_`G1UUKB? zGyd*UzE}OAPaigwiIB98u3y_1q}a$;`TeurMcwDWgZed>yF%Pv`^nx&tXhdDp(%rEn)0oo``QYR0{>u5L>mRl>gmhb( zs$hT1t>q!-irKf(EUV>C9X#<&jodfzm@Kqfa9f72Si&y+Ge<$dRUXoX$^v-DDqb4S)^VUjvUr|xf^e;OORaP{$tuL#hEl$bR3tb3W zI35?%a+X_>yg6{$yNN>EU4(_I7)C^Qu1!*h(3aEyk*<<%*-r?{GTXi^cJdU?ER6|g(CBW%{9VTc1rjXS*JB=jpLBOOe!@*lU+` zCGj6E`^MK88JPl3?>c5Up%N}-nidW%)PRI%?j-_3WZ1CRDIc8x(h zJL95bsBsk@=lVAUzfd>X_IQ&?>7p6X`BF6HF$?4OwfbM0``h;=#*`6-@UtBGCGGre&ZUjA+>&m zkfg@bBDaO&Il4Rb(qnknwG?+ZT^SbJmV4Tczj5uQfb+LL9D7ng{_ry>)jwv%`^k)m z?sTY=?4lXYV4SbExfP}$mK<-$9Ns)$Z*A!s(bqci{=g&Cd73f;?Mq^9PD0u*zm5Fj z^XOG5y@^gRlkKV7J63v!=T(AX##`Ai^SA!=13VSpB2N8RBk@V-gJ!$4ldTp0$uVrv zJjCR`{aj4fSp)OwpK^++RsCao3qvo7-Yl0D@?B3;C>Ou(*np`3MeANkOPTK#-fDk= zZ_BH-dGkx8Zr$-H6;_fJt8!ciwS*jrP5Mw;ZvATclRt;Ol^=i3T9EZ>vOYTP=OrP9 zjbYwbe|-AhuPKD0`EA_w$YV_6d)dCm#zPku9x$;fgsGLoj-)MDU=sn}go{eL`MmV*_v5j?HY@^<^55~W%i$I_+pIWmOdY? zmCQ(S7U7RN=#B~7ktf*qJ>v#-Nq*fXU*)DpC!Xhgl1|GJF`^xB#rZSadSWZ)Ulmyp zaI)xJVn;POrBv#E+>S3V-YDNnerRbw1}$rUUu%6mW)UB6*XGK2g%>xh&Z`z|>hzJ{ zngD4NgE|Ey+$G!J&t-X%T6VLJyOqWg9D53a#zWVd(o%g~Gl#sRn8ZW<>O_JvyOs zMv?in!7=FPr4<6Q&z{_b#=946z3fU4slL@Fb;5qAy^u=x%xGSk6B)65lY{k|8ub*< zrFVZkx)OJMqoDfyZxRvm=bwZY@4Nl!=)fze{A~Rh<9V;s;xt2KwI@XvuKwJ7FOuG? zZgD6pYg1^%WsS?kTW^1UlL!yJM+g$D`4P)_C~W6@IOndk;iMU{M;bhPY~=Zu!RIGW zd&f&CWXvxrx5x?U5Z~1;@ia>0*+H-JgfDrTdP$FZALo7CMiGi^?&_bsk$Upq5{Jo;f?Gp|RJ?J3q^CT8pZLr1k%Y+1kGHpB4V!CagthuxBV!TXwg}(PF zZ;tz0@`Wu$i?)^pon=tB$v!`lDyWkyC*y>^+)(nQT&Qe+7O(Lci&RzX@F%qcU1{#W z58RGckp;`3);t|tS(2Z4xYVwOw77yAOmCs!B}l;RpmA_|owR|ayJ|Y!d7u%UWhZwj zQT)*6)saf`=@+kP*QB~pT=%^cHrBluu!SK} zxSH{7h7#A!d$HX^$Do05PbPC;&!jMp;;t{KD;D|ig``?SztKeBl9~_=Y;XUKZ{r7; zP7}2Kn8&sqj!AW`neR!lHZ*=O}Zy(`1`^{2|BQk}F zo-fx*i(k(vMipNb)++v8-Epz-{QmXlFE^LwpZy>Qb?ko9Y54%{vvl?QJB$hq7jOA_ zuY(6xzwubJamCr*%wF470Xjb}R;ds#*H`xTg%t^P-fs_$%HOrR!!PQM|CS-_N9<*( zs=xL_r%X2cSnXL8F=oH+Y1FrR<-|v-`A2UIhvj^@ymIhfw%?gsYjs~cGuQEdTZ$dv z=}wA~%kgXJgAP(2#vZq}&(Sgue?!mKSXA*&Qocq1<8E)2#5c!8Uk5KVeYnnOb@vt2 z>2L2N*KgL0luwWLy)-oBV}E}vbAg?g&K524ZH)n+-aJwM?MpiCcb&JcdfCeB)SipA z)*4o$*(O&GM>rnKiobrhyZ>?T1>MDOWh6Si$4DV2|1D%w0VGlaeo6Wr7}Om=FJusJ7CGrPS}B?v=+jNo}{9 z+)f5REPfSRt)ci9!}CQhUqRJKerS`^SeD?;6)iIJ9+?*mLxPtRpqp#r6MNCI61N1D z{u5HS#3Q*6>i#gcp=^uJSr&Jof zt7K=UHzPf@x5d@O*ZVpi!t@4THKVtFi!rk@GhXm?QaebYwy2vo$z){6$1E$krkW9! zzcVXgPeOr(;JdaCMO^_A-h^|b7C+)vNB)u?J1*6zJbtM&v-z*?uVfVikB{RAWORr1 z70qPhN3$0v7=r8&ljeEaDcShTrKgoZ2(Mmmzc9Fbd6N9^CBa=LW{_!&e9_8ShlTal z;^+@AqLTQJ+=b>#cZ)N9Zp3UPseI{QsBy=0o3!bNzVQsw-S3wzt))Z?UPw0@9YhOM z7>O!~=p3(ZqA8#3|7r}4sMceg!c|lirLAkSY3J`;Y@aMhuZlWkpY!0STTmhWvN5h) z=-L z)egx*@@C?{!WpHVKbrO)dWzS=ycJy()Zei=L^s^PBYbb{4IOvw-6|8%f`vB~SLS*y z&slOh=52EP*1h|Kja*uDjji3Eu722KTW?|_yZ-R5*ApryYrE78Z+d=(UP@@?9jV^! ze{bg+^&<~8`S?(#^rIzT$`biEyH0%Xy|hYlAaTEWiOh%Ad8IK935Lh{x8sUE6qjMD zhr%ypnDVs~%ryMgUoYt_=p-Z`%l{dF_G*39tAy;bPv#ds6_{^huD_omiT!B4PCv4c zT=-19eE%)cYdMa}@5M(Jh(4Escn-eM(a&gJD{;z;tWfgI{k&a88RhOUzOQwRf<3t5 zq20aSuC8Sp2M+x1_Y&1m8-M(>E7HdG=FrCN+5J)lzjGH~I=1siX*98I>w0gQ$b%(s z)QY9#_SIEs1zpCo_)SeJ3J*m1WuIdbW*`(RtYwcE7@%_6hm^YN5k;bz) z1-nb)r|#Y_%vTr{ey2ne2J&(i4vV#_#$ac&@#}D_nc*9uUvP^G4=Qd1^ONQ^c?LiLJtae5ABeo zG)U()%@fqVEu7xvH~eFp#)vPj#%6GtKu2qnzW#)u&o#$g#7kyP2b!|_Tpug;xZzS% zACI4CP2ZU!)*={bY!z!BV`BSOM#>?%)kITXch80I!p$+xwLvKjd!5d;^zhvO0r4v= zBAi;P@^%X~EN^Q^k4SM`zmAWPrTCpUzm_uJZDCYhawHDED^@)Er&QHacL8}pjMhQ| zwK6aDn6~$k!(TovNRy@cYS9#+{Y?Uga zD)b^YHJwhO&3nD`S9$3N`~oGz9O}a-kls7ve{L_SvliW6CKeP{D29rc{=D7Jwgq3Q zwOUCn<~4TTMKQtJ#SIvlAJLm$TkL$|tTw8o=oI_{z3jGhV^GeS$l!{idmmG3MX%lO zs2X-(5n^HUSV&b)VfUdkCuyr1jE*c`mU`6=9sGTVc#y!FKiSQ051b?3+F6WcIJ%w* zfUK%6?$=&?@T`E*ZSU)Ae|l%vu9RMD)E+EunYweZrexG@nZ+mLM1^MeesU(sGC97? z<(R+MNPb4zwU$jIU)P=N8OY?NK4IK6S9IGF$e*1v7 z!AJ`P{{N2ks{E6ut%$ysQtQQo2HVpsUv*liWkl!o@h_iWg37Dr{g#$&)bAqjOlQZb zu0BVvz?`ek@^=-Kb!6Q5@pr8Jk+M;#w;ta+OVJ6ZrTw-qCaw2K1s{Fgu>FZh#_eVA z2pFx$i^tz2E`DBJ77`?V#OSKb;f#u!c>i;4`!0Qk;&V*m!-=XXM6`-=&gVW`9WzimE#_1W^^tNGp)-}g-NXWQvfj9RR&1|@um4c8d!{j00> zs5z$2%hPHcddV~5PG9)&p(>w=T&Mb3{r3fW>krZ&@CXGyx#VHB_>)QE#i8%*0m&!- z_Al?8xPPK}actS-aP)l44s*UE=0eZo4R6W}bdC%Yl_JzCS2ggy4Dv;1ABti6xrVoU zUHkaQ>cmRtvWU<8gD3}a0nzynU)%j^?~aRJVjvv<%1lQTis)`lqb#~Bpdwl~>eJ>p z80wJI$v?*DR$+Ag<&l#=3U0p0Mc;U>S!-!Z>rEIPdHLB*0O$YRsG<5=<^C!~gT*Z< zvPqKt_RnMb$LU6cC8y)lamPLi0YtxHYyN+$5o@$D({}X;XSw$g8 za;cca$k7XSfexsYe*Ys58aJemRLN(0x&B^wFYeUfszV0VZ?OSwi*2x=&G5fzYv@_@p4H`nb`g-~LfWM&KF&gd-O`}6_r)W2{8~8^D{{m@fH?P@- z(H1OK{`((SFFC%Q(dL^A$_G8v_Qas zK@UbVyqp;xXeOHJ;ZLKXnIM<~K%Z%iAXcks^nRMZ@7&hQ6wcPm1k4@XC_cNegGz9SV!32%;V6dzc;!6cz`k6MMsK;=i{A|KIfCY{br~4+M90XIBVC zAhGKM`(;`mJRB6r!K}Z4huE~i&Vh$Be6W~*Z<){TFNiaMI8%&6AtYVrz(XL;Q3$9vgAXg%X1mN2 zx$84z0vX&JSf@qeC?1ck`=m+uyE*#U^PX)OKo(&%eH>ai|hTvx0hU0J>3tg6Q z9LvbrXh#Th?EueRK=1{2%yrs~<`9f7qQfjEo`T579IR%%hMh8$E0&M|U#Qk`EH0d?!5<=;LE3_7J62juyNbh8(-FsFG^I8R z(kVre7@Jb`-(n0)fWu%Kh|SL4G*D61418TRY?+X=KT{n=WR*}gR}W`DCe44fCRQCh zr2|$7HA};(qbRKUJ4?f>qo|0!GvnZ?2*|S(FcFJ@1a@NO%n^NLqQyaQu6~#4SY{zyN7^Guyjn> zg^U1krVLgxp+7{SX&5`8q?Xu zi>8TaOGUJ0g9~C40H&~wohyue8DlN#tgHe%1lHOL-dRRtSxYpy=dz5Ia!AHa3xWVL zb0i@D>gNOqV32 z0$>qI5K>FsX`6ia(9re_+Vp6Ii-ofb&5NO}jouvK&2Yqk3tP0oh7DNc!e+w;!Zc+E zPz`PANAuA|A`_e`;P7Fqnph&N&9qs%0Zb2He>FodZ|Btb4}3uc8)t@h00U*oV7k!! z-BC_zEQ00~K@&r!5Oo;LfIVPqs2LET$)Gu@r}DJ&8A{sF7&K>pR}Ykxm$MJb(ZEL6 z$ZkifT`OP8|Bq>3D_`{gZ>C=xpOOHMg27{m1YBxb8{hKTyNWhGJhX{!Zb#dy;kU;P zyrFQKR8122W&x#!)g)jvv1E01HoSuUX>Nkxn+GCZ5Hs^ak%&aFuW&=n(BPsEzfe9b z8jeV!QbC%r)9?f=9zG{Q>_qq)JdO!|o0$w>!+UA?HOr8UfnCQ=0}OF+aIw?CH4i|0qFeJmvDLW132k(s7Y2ca&Z*8Y(i2q?Cys%Fb;ddMfPbF~(1`J8?@rr#M zxF*4yI8GW|N7!jN5&;8mT-j-WA4G!J4|XEBCQ-SCkm1#XeH_e?)1QDL@n7PD44)9$ z=YngHk}wU}KMgBjh*WL%fq)@_$ZZ`3uC(mqz%>Ql2C>rsKMK4Gu+soPJpBF53~dU3 zD!gf#AtF~8DtIUw2MtSxm#VqruvB>0z)r*Asd!E|0U8nBOt6mw*$K!0Tp}1q;7mJs zGQ3w|pNt3NIBNxT}j&Nu={2@a*PI6Mx+QW~7}rmGZynoDaCaGYs}1Ia`ID+#+0 zK%>G3(77~F0yvH1DpCM{94LjH*5Wv`1kmuDl@PcD&*?fajtX!7;TJTkAs)wBEWkhtmLo6$jR=1W z0>9Yif@tNU0SGy0pv-gdg8=+^GJG_j%Me5jmp}1DDz{)@3g=u9{9OEihdF`}R7?_) z3~^2dWsf~SfmUGoq;O3pk^%l)bK&0wxD4T34{#(Rb*2(R)6q`^1)NJTg+$`i8mw$2 zPQgS95&oKM4uV87S1f>45v17MaS#X)3Rl_@DHQndH-{k!i-*5B;GmJYlm_3SbCzWw z7{}#0Q20SXV%5LtKmy8;I13UenOvI)P+D=E%QjfBzLA1$Y=f*|7|PrnX2V z0+pK~fyB82!h$)MXh0+XOYNs}k0WBZG7KUSfq~Q7Oe+IN948IL2RDtt75zYEG8YOU zjJRlIq%0%&Ps5KRk-=t?Q!pq296fl_J4Q` zfkfd5I6woTK351HM+OfJ<)V>s90&rb82Dhxt{{LJ$GNS;6X5+H*FbPe;$#SFKWB=8 z4JDb(QD^{-3LmKE3I>Ih0|h)N-|&f$WB&*0H%A_Wfxs3nhC~t@3QT`z@Jo@uptd#& zZA;$|elr3G1hkE>FZjY7ITC`?oT-nSFSrBT;h!-~4N#8S23SK~j3ds_$cREVz~~bU x!F~^GKn6=B*?^42=^1T6vBvywLw2CRA&8v@YN~-6W zGkEqk%>F8bIQj>(f&iy)`3sl+$lVl^n|>AFYKLn&)Wq}7n+RgmY(A(222S@-YqT7P z%zn@%NkXmUou29d2UerA_{3kId_u(@_w{|B3G*T z@o%L{zPn##RE4;$2+IjxV!S9A$4EU8B9%qcE+0D?vR1E;X-}Q$(_Z&5{6_0Q<*??^ zB2yJC@Dp!l)GcaR-SHVm4%sMLBIZoRLo724SjIwDY|h{WHt__O|lqmM-eCGXl1s{N%tR8?&eFbNHO%~sM>!mPZ&4Vf2? z58Kx&AV?^8P8E0){VV%X+NWdpnz7ddtN4hVE_iYY*w@k8P4|y7uxy{`HPo*D(i?x1 zTF70sWR&=Jbx@Qd0wwn3bA^F|Ut`|h_h52{7O#eLi@cegdEb+&pWl%k51$%Eew?>7 zNmFu7f}Rqbk2q7X%7t4ITn=jVu7tm>4eLd?t5xgd8XXj>0-ZFh-uIZm3S$!*9oVK) zz5}5l?R!Q$NX)uF&?z8ZL+BPoQ?`A~$bemEX(mv&BJ*vtXo0mawq5P@gE0Jw+Jcq% zA-^>9{O`-^L5}%i`C$oZ8z|T=E*Aq`JKP-Gp}5A?M#)ijrFV)vbsOrviB}w0?!0Xr zqc~<0i#SoD6sDQrFDBprnUw$CJP-T&W-ap2YrCjp)hzlGs#iSuQ+GtFYc_FbZ|4$H z-M)ew(y4_|i60BL@5JbrnBBoOC^*5c*kQ7sNzc^OPdUl})1>0N= zw`QM7SX-WBR!)wHFVvl)wZ;(oz9-VyCcB7reFW!OI2qabvQ3-k1%$fXc*h71 z#J{-QV@~gMa=0C|y|~Skyb!yL|8k4(J)~`jfIy2N2bEBFOWv9Dc2YA-Mc>Cpwau?2 z4I^T~lkmrNe6OV%P-?5?wC<8XCny+)$V>a+11d8PHEt^TCn4(Zh*K>+S_uy9SY!)b zVeQYfc7hMs(amrI4b2IAjY#Mpyz?hnhV0LYT}Un@@t$4#i2W3nv5fKb9c;AUJK7m& zj6$G?zcOu!DmnaM@K^X-|A5~g>LdOaABslA9TvRLjP@5>$#_gLlB9K1RSA=VW)@om zr*P(Tlv2X_Ih&IQG8MO)eXXbYiw5be4=ZV|7SRP?uZ3$*rRxac5=#Z+w1&|5z&M-E z0|qj8dZHPu@7l^lQJXzns4!Q{`EK| z&9 zRTLW1P)DwR4Kj^Q9N}{@l4ks)Cwj8UyP;2mFq$fyi`pWcmY$xc_8Sr^0+paW0uvL{ zMUl_+k@?~GqRaw7vL_)y2QRW`jiigV2; zMN`=U-$PTRDU`4$B~2HsX!z9OA=DnSIpCrHK2{GQgrZ2R4C&XeUtk4+Z2c7!1-MEB zLk16zB@}+U$bDLibOgVVT;=wy6XWg4G8mM;oP7eTkYA8b%6DK0ot+!*B?*4B{+YiV zyQ65LUR0Pbb%fq2=UfQ?kDHzWGpr3HscLD#WN5tA%bY(3jiKe5ua}Ttq%)ymfireT zMM#C$<43fN{l=$wdSWb=CXTG~e_xpuDi*@|W$Rs(lLZNBypN+45Ysrq*2HF!ITBz&Qq`t7Rw+g;V%)r++{=LhH>|hfu(!B4wySwKV7LJ-; zdze(U=96E%3Ko4C`rFx1DshM-u6)FTamWJ_6Dbt8h7$jM;zLrvPT+JR0b6zd=#4G& zz4a;TAAa*6_bH^mRM*rD0gi97)D|S@x|=+{n%DR5JG0IX?68@i`z|&%(2y(7ndS3; zY_JC|aYP*3i-4u}5R;i|8}0Z^!GE)W(8*5CLe8~*BRUp>pxZvJyn=$NwszQ{=;hNb zM`HLQ2`^^GCU(Pz&_zt(w)mc}n8e?@WWPA)y0CND_ymJEqS|IAxS+TmOCgSJxc+@O zI6~O%!MN?ON*c$PJWcN<=Vb0rpC)pMh0ols=~vF&#K{8s>X`}u?^EEkd4906KGz_?DAzVw zX7U*K?h`EHh=5jFM@L5$4UJFEEaV##B{UbOJ8$>A_dIvdupgo4=D&Qj9fPbS7m!;$ zwa-lwEK{B#oGi|h0Og^Uwi!ZBGL&+!J@96MUMs<3C~p!W%b|jqRfx4rgvFn0t@$>6 zx$v%*Nt^v3Ve4ZBnhmXz3*IUgV&;MHotN$2t=}rnS&($S%N9-s@w+I=ob}vmBop!A z-Q3))21&5E1YUVu@j$T`M?!+i?Se7Xt z9v*VN9HR9)8Phz(RZ=5#vvE1kWXaAHon*pKHR z=x)tPLrtxc_-)#19ac;q*>dVs<77gr$-bQKEzra=E2AN(tE=-~dv(AFDb9pF@kP&R z!@|N6FPzTiTwnR@T9;JexnEzNb%Pw}u-t(;g_fHSBjpciHligDT;Rya=2mqgfDquf z(ABFZArXx!!HVB4FI14CNE}^9D>eLDOVYX0A(AG1^yraRmDPv;nQDI=yA&IZpp4gp7OB3e@fvQb%fj*nr&HqHbg!pYNlS_6aLNQg; zuxACiPs}8?THSs#l_%VFXteNfx0x%b_ zuM)VG0S${mbakU0UkvKt;E=l{6WMB6K91b`JTlImF2FGf!&Q-IAXk`)@N}9^mI-4N zN~p|8fQ_z7k7<9N_3jnb=M%#(4@fz5TLuIcW^LcS%yy=EM#yQMNvTmr{4Sh+k(icu zP9Wo(&sQ|$piVB3P*{|U#ScIX`gE<&fQrR1C>0&Kjh-tv>cKeK$54d!mIeBS2S@Tss>VL!(P+dp0=Y4Oz$HrMK(zVKrQ4f!f%8c64Uc_1OJ%7CW zFPsLJmB~&G{Tgu@U&&+EM|`y=(5!0X?T8YlY2Zx~h>qVSg)hRWrZH~%e|gx0*K1!} zyCNtxbPV|Y;bEjJTP~K%qzi{i#6x|pudv&y``%w@FR1g&Vc!Fg1w+Qo*0J#E*SfA1 zw7DhI&rfIU$_!hPbYBnfVT82z5t~c=V~*wr%bjkAz06e{QkrfA+yc7>-^1pSJO7D4 z<+jjO_m@Z$Mm#PPGM%ga$su2Zz}g;CVdR_4HfNJGqJ{kf*Zu{IO9{}zss$H$1seAZ z27I%!#j`v-u!+&}sKn*uFyU0jO}JQF5Bgq&A-tO$!uAG?iRbrKaqm)d@5HRn`noqb z6{%C%_d+e4>JbsJyk-$KeM}T!7>OuhAod#rd{NFGU>~a_R(tVKD`;YN@cmrjy4XBD3w$Q zo^*+RBbV2?J^Y_EzE=*<`_2CAysD5p|3-YOoQa}^$RI*3CBl}0|7oyk5Qe_K_0L3h zL<_?vw>cj9<%BjQ#fw<(I!6Z56~6b@XJb_ zG!d}Y&jkfb2X6Lb8k+Eg0|Dglo-4rYne-R|euNB93@?s100Tgd>COH+MoIdgmIUZL z1{*mjT=a7L;n$SMq{wJEEwgp64=%P!R)CA{G@XI>y!?Fsi7n~dn`;Mz_ZLTaXz{HB;Nzs5%hz%i_9DnrSl~{kg_b>a{X`U2m zS7CWyo_2A4W#P{qw12(*{~7UYsf@BQ9?9=$eY>@4c`JPIHijpzNhVPYPN^^>%z2G^4DIraC}{*q3atZ`5{+9s5W z7({GclV!&F~RWk++irs*{n>A1v&VJc^cCodj$7z32^i6Z zZyW^y=g$|E)MsXt@S~kvJPjGPPeB<@N5?BWz>+8bY=TP@iMr@?L2z7ecn3xHo8#!4 z0+qFQv>5bMt5vcHvg5TzN9uIz4&p@M;@ke0_aHGwV)EPcC=z}HJ5n}Ci9?qNn!Oj= zoR@Jrwi0G$X3zU|K|--@JQ=T?u)Lq7Qf^JpBMK}}_Rt(B zl18k!uRikL)&hC5&7my+@iqxuR)nhN7ItNGDZ;}Z8$2rzm+vRaX2q?f2=L`ULA z2xS#yVn$kWyCxwn!F~3Ej0FLPYDmiH_Sr<1i2*|p6(a^c!woAGMiUv_#Pmv+00nc` z5GB+Ur%DtZ+R$u6bBC^$>G2o7vI`$K8v;EIm}}+rGgXv6Bp9oGmGmC6?x)SIbu39P zGU(oX4 zi%CH58K?IQ4f>o`D=3-7lee=b&2lGnR$Q18U@yhNY<((&X;z9$5wHTcke z#uH+Uh|Z|Yp16x6uina1PayAds*Sb8gIRqDq3E84^Mm|rak}X*7}AvEDNo0J?|*;_ z&zJsCMa|01daJ2f|WB_FgDy}d83#(wcJ z&qdO4LU&t+2c_nRl{;!~ojQuX#mdF%r!&OjyC>e4J2h_SOCj9AO~WY#@Bm+!taV^; z*`D-o^gJ^L9_@DX>t~s9C)TXnN(7mhk8m8P0h|Lk*IC040yt)PfR&VUyuLi$3G?lY zhlNM@(<6sMk>U+}>R7j|cD^fcb^@gFskgr3q^9{A*Zbl)+BqQvpQdXlL&{7qN59uK zsLfxTG15&K`~}NK;D6%}+##l4<)6GB*yWW4E1|;ZdhE#_N)eA?aYX3;<#V7XgeVU; zu9XEG59U6kDp)Rs5A}Y_YH9nDQ|nHQHX2q#$r6@?ce*|Acyw6i7x#2~K5Q%)-Qv4N z%$jYJuXZ7{7zEN~8JGkp%mD{@)ilUK<}N$aK_4Dy8h=ZE^pVF5%X}a)h(#@D@!R9Q zj?Wqe`+&omRtgtgW(6~+x*?q{_%>VjQ}S88XQCmJgl?Fm_p~f`zC8e{W4XsmO;#BKo_P*-FW6(g+sM;>t?{-%avXx8e~B1&ak9esik?N zk={wtQ%KfHy{TZ0gjQk4JoJ;zyg}}LhyBbIDM(~!8B6-bV@=4ihLS8(Rjrmb7O=9- zp(GnZykY?3*upD1c_PN7f}020Jj38T|AAdLdd`~8M6L#Juaw98-7hwBRrU2bqyU*U z$04?Sw#?(06YfLL22yJ@gG{;pH-PPzTpB7kWzmhx$YyN?+Lr7?_EdkcDEFc)FCpk= zqS$4x8d8=aP!;XP+6&A|4fnpvm%SDt_LoKKg`yzFPwt8kANV0>KJ>GvpRzBJ_D+Xs zKB5x}gx!yU$$Va_!X`)_Bb`VxPY1`7Nkf$}_8`X-l4-MhCqF>JvCV)|hKF26a53Nq zhSL=aBvwB|(0&o2E1Y~}gHwJql|{$$iAZGA$+*t`<+Ww=?OF4!h|h5rjaj3jPo_QEinF~hb`Pjf+{t1Eo z3>_8{ibOy~Aw?OnpDCGW{3x%?lhPb4CVtFa75a(?#7Z2 z2JY{Y_1`R~WTVESVIkB4kQ|+q^cG|&m%yOJhh_y5TRU@l(X!ba4?O(EX^RGDy^aRl zz}akQRBB#GJ5j5F^-z#OzB^6mxh~cHOjYv|N%?i=d+R#ueKwak{;sI`_OiMA@!pq_ zok6k7?TU{AcB$bcmUb&$5w$;J6lgJ!&~V873QC$Ne9zly67>Z(5oED@6U_}`c`%C3 z_9*SX`1>QHOA?>}wpE3B*J|K>(cPjNSN^WZd)N{LaBE#%d8un?IA7cVtpuYJ6iT$? zpxBjgX{`7a-I(@a$Ad2D=QzqwgmU2Qe5FalHg{Pn$eKYNX7Rru4q z#zruox8k&Z@K|!PIi^)%j+^RrC?|X}s;1TKBa-UAMh>LZm0v&8x`C`rh!wOCg2oEm zmGynEov)VgeOmx!FE#1LLkW~P-kK<}6kGlI)1<`@(QWmErqH&Xt1tQU=g)(Iz`~uR zs0h7(wvn60f9-p8a1Jun!9??oMnSny_FM7jUGe8~%*v@9eu&7tMG|askaWjNk~Z6rz)5|PBu|hiHB?K40IiPnII5|IKabj$-AGU0f#>cS6W)S zb~)?;m(#k6uKVf-I3{}%N%fnf08ya!bov!2d#LK_hEv+sA_Akf!#S_RG8FE`A9*c_ z?klH;>)17t09g&f&fYniuLiG?JWUh5_t5-nOO)@G$@>oXrIGG3D z(j9<`ZNJxewhU}!$DIzWK?7Ls0oZmP71I{5AwR`#>vDF=`#D}6ob3TsuoWz})bq@? z)1nV8{o?7AmF*0CBc{sD+!p=OOPw~JoqLJ0>3<;uq@)83&gFaK1*ZmZ$8P! zMS;2Z!xpd}?bF@4x8S${j7XB^b%K|ntd9_nX2htrJn;H@{nT@ zXeB*^gM;U<<<|kXoHlqcRB(q`DZR9mD{GKS%!djY9Zx-v-lp;TbVgAV0+z3;qB68h zLysI(XW8gm1ElV@wzeEvhbgo8s`H5I#1n^{?QiUQ^~6AvRg}ooEYXMO_lB$4!`1%B zI9fa80=85j*?myVhngaC#24I%Lgm_8{E>%qm1$s^u-RGNB86A+h5qmg1f2JPJf3iB zfk!1s+dt6Z_>tQL4bG>E`g^8<{ucmhBD_0i;1Ey(ar9X!{SGlHX%={9&%i)utg`6T znll5wU*0S2y#>J7Xaxjn6oHiH;+@RW;0=^xIQM)9!UK5d6@Zps*NNoK3NE0Tim^T^)h>l|xuP(6X_ z>+3f(JIQu~oGwPw2jU45;X|fssmSeef!kd?zW#y7?fDzI&N7hg~sc9V7ksa zgoKf7MA4y(4E4Hj zwXbPn1|t+d#>WaLfX_T4!py=WS`BuF2uK zU9H;lqJ@2Ip2~I==h>DCZS=Y3ui0Df@ccVNno!=%JcDCjiUqTvCBs7gvTiw5v?zUrX)nwszMq?#cWQhh#kbA8F} zar`oZm7YH9vG0vAye6Ie==GDWXF^O{!?*LEd(z-wOiN@AgG$YCg@y7Xh29<@YYb({ z;17(KWS=V8=c~(~#eW;k+(3Z9$;rOw)o*rUydsRxEw&wlUKP>2W+z&@#|T&nLSolJ zK35+jWnUIc2NIra>hxPpTwt&;jyEwZZ~B2so~3B;K^_#4YDj59=Ai<4eHwd%wfap0EhBA%xm zz{F+FThBqx(AaW>ZNr3B1M$wQ`dR+hr82Zx>t+SE{@ zF%H>p2=t*B(XKqB*7rAe(Zbd=Au(0^vM%Q)pe!Su{WM^1;tEUJy#dY}zC9Q4&V47# z_zvk^m<*v}_NMP$+>BYewihItwkx0H_LxH>H)CJrSW6J7r?DjYF{gPE0ef}92pRSP z$gtAGBXsufF5X^eRY7P087~S@A;~dk?N$ANt~*qm%{AGp5{&w*Z`N_>6J_bC^MfZi z#O#RG*PZ)04~C)FEeP(HV9i*~9A=bN2Ys**DZ-;*9>L^Z|Yf z*0KDQ_C@Uwb+=^()@@SA4j( zkB{h+2#OEG7WI$u(Fo1Dzjkx*t#g2Rf`rABX!)2@CX!0R!J&+G>scEhIe^heyTIWD zh+lET79e8-VTiVyG`(Nk z;p*H0he}Z8gR@-Bo93I7lFx+-m`nC#Ku%I_hyaBB)2C15-fM%tHx)qIA_j^(Tvn9^ z30CLcpSpb&M3|xc0|teA4iCHR%)cL3~}k{6;S>FqUnjgLC)9lG;1dTl`pq9 zmv9KrW&V{6^)r3u>Hg%0dvoYvPXHgF3C6n@#;#NCv~^U{cuE1sVF3LlJa`yq-E??d za(hv7K49l#vhJxg-5v=0yKdgYt0H{97zklw>j3>ocnIewCB6}tyA8_uhp;?y>V|TY zkDw$rcOonGdE$_*`EsrF!mK3d!oc z$njqW;QLjXcS+qE#mIHmLml5dCSdl)iFlTZ6K2W;w6LiPi!9f@c|q?Br;$NcR@QwW zLqJri3HjQ=HsX*#C{jSBh*H3|0~Bz9z$<&aJ#{A#4Y#fEMFqSf4zST8pgLWE^+tl` zh)>rqp%1{4z5tB!k;_POBu#`GAGFvMLM;=Q{%KKD3P|QKw=^!3sMCna&D}s&_FeM|v!?oixUd=Uz7z5240AO&-&H=6Q--{>Z2_efM?sPSI zUz!%fU_xzR@#^@*fU}%Duo(lrR4FIrDeser1Zv<_GTerDvu}=d+gIL`2bco&cFY^*l zMxBDyT%b16)=R2f2%!Sg6zbIAfeyCs^*DON7lcXHeFF6CpqhcMl&iMI1B2kor#)Df zK!;j5Hy~d^dr*f7p}MEyh}oH|rE+E%uKV0|zYPfr(V&t93zwP_kCv>QMeDuu#B=3B zJsS{D(r7nL|I8GF#3_n4Npydt0|cr}$|?~4P14sX;6>s;et7VJ7!e(+iLo;`@UGB7 zs`8RhVFhSqiZ};uSH=pAIzB!byI3A z#A2256n6;9H>qJg9AZ6qJJU0C_*Uhjx6zoEI=51Fj6z}G>y0W2T% zCuEE_08D=j_Mk`p=^cpA!CM3I7)DSAt;U-M9RLx4>dS2D0R_|p*wK^;^!vcIfKsFO zN{}aEFi^*11IHhsrs$OGS+2k?#q`WSNHcP}u(8)5X<-w%QBHq5jObiO=q zgSRmywEU zP$G}D?!O#KyXoi+hQac5;1jY^IP*tBDE@LNPbK68*;)nfunNmNgsRVfU+pCTSK--}0Q8G$2s0BBjy+UQ z7j)hv#=^qlxzUx7KvYT*`t;As2!5aw#$AXi9-(7|MOdleZ$7VpLc6M4EP41vroAAYFj7GRmDpnaVOWwM6eUDApoByNKyLG9v34lIxmEiMcPwK7M5pBCjZ7dX3/dajwB8+XmK4VF+p3EKJs4VryZgIeJ44Sey/8LwbYSeDaoBAnFcSWya8EL/hdJoSWlKxyjUuvICMkYLnRhRPIcRUyTQUrJWu/2RjJ91QImyBC8RDAzpX/jmKVSavth/eIbwkkqlw4cv3qxgNGvhJJVLtebOOBt91e9XkI1lzxomcKYrBsi8DgBc0oIq56WmznKhGqV2qpxXz54u983RTk7ZoAjDVWyrTo7irkqZJNQlpKE5DB7rKWz3fmQmMHirZQtM/5o80e0weyHFIvnn+J56t3J5jOieIkYoqpLzuj2R7MhB6imOSC+F9blzSiDZYmjSvgFZ2oL/yDGthJQcMUIF9WHeCKkkP1MRUndlWRFI6kKR0IP0gSpXrKb0FJjnNTuV0T4fumWd6Aogwy/64CCEpfJvp8cyo8Ft40OBcE5KxszPwsB7yA9zPOl4bbKjqBpZ/5Qzahaja3Voh0WunEB7obAhX0qLmoo/Gy+O4CLnORIA4U1AiiA3zcoGoY8aCe5mXeYrZBinJbd3hFlmFPbE1yg7JmUmGGS81cLwhhZ8lPvOUioKoZlujchzHAiukZcH0LZszeu0znJCN1NrahNs7Va7l6OZUK7s5JR8mvPpXa1aiF2uNwkIoRMUcaJnIqRU1Iw8lq1SY6jclqkhJEY8yizQxmD8gihdchoYidoc9AeyptAoHvTnTTouiZ/T3pE2qB9YPVgQXATDDz1Ap2EbXAMDQ/vbqCDg52b4GDH9lsc7OqxttXflhz9Qf/LOdszkNQfI/dj8dOZ/beucC6IwDAgMq3uW5rVgQoWaopqU3JUDYdL0Qhcv190/RGRJkPxqzNMkLFDuxVkAjPIeGaQccLLg0wwQjb3AXX0Ggxc0497d+Njdep+RoeAC94dRmzKl6vW7QH5ruvpyPc7kO+YyHf9y600xj3mnKtsr27hmW7hXsstPMMtZhkhsdgxXBZcv6IiMROL5AwveFaPSsNE6xQz9FLA3fnWHKO6GXqApBO0IWkbkLRVkaaJSb+HlF9VuQ5RR2epownFA4D7UD9NgHhHAqTpox36ULILsySeFun2CFtTVHA3sqSONEg3LPCPS7fOyIgcM98eLaoe5ptxq2R2B7pUvXd8/lG7+aRxWXAofsN9hWYv1G8ne/dpur3f4fZBD5b6lMWrko+E2WvlIOU0juTja0+Rax+V9tcIy7CY20HUqvhwUa3Kv4VsamR26ypAXS27ss1r+P3D/AoJlN++zTpmAuV3wdDrAYaDXGfrYKtVpDpg6Fs384XK7rgR30h5NHBbRGXZBzM327qwf9hzwSscHmW/q4mehpWWwc8CT/UlZAwm66ifzJ3JzLsGmwVtLHWwWTAQm4FhPsGbbHba95/wVr7/OGMw3NHG+hNyVtlUv2PpJWsFeroAPPMLq9NV/O6h3KI+uPxvsxPvhqomew2bBYbN/np6fDgvNBhH7lDM8aEhDIcKDbxZ//KryljqX9eBx/8A \ No newline at end of file diff --git a/docs/project plan/fig/spectra.png b/docs/project plan/fig/spectra.png new file mode 100644 index 0000000000000000000000000000000000000000..57a056dff3248ee309c2df98316aea48e0d4de42 GIT binary patch literal 57209 zcmZVm1z42r^9Bqr4bmYY-6Gu`BHbO*E#2J)9Rd=PDhSfObayKaOLsRcz2D9A)Bo{) z?~8JHEXp$1Tr=mKbI#mGs;S6gK6~*D1Oj2o%SmZ~K!_C}5S#-lGVqm!O$ia;4`g#i zSt$_g>DRZGf+XN8XfAU4?jR64{?jKMC_R%H_#%pjys|XPDgqe>|MPka_m4%M(<3~hzcuAb+T3Qmn!LsphdvXij)=@aB1~2Ov z7}PX@wcn|-g13F1l|G-$p8iQVIlpd(Uh|AiPU1Bxs+mc3qGjT+8|*QS4i8V~4PO7< zjgQn<|AsAYXrPt#k!sn#J1N)^67lcjLL(n5^#5L1E0{+9eIZw#kC^g*e_yf`iUaau1+ooh>j4=Vd+Ywd|Zf`Wn~7r);K`P2OU2$ZN}sCf12mCxA#BO3At zOBkgUG#%cm|MDG$Vk(=}c%Hlnbiw_jBsGQ43LGd1+O&LnP(9`b zajf&a6`9Cap7{D4_kSOPaO|zu=H>B~2KaimwzdmyL#z(Vtu0-RxU@1H0oN{|Och2Z zCMGQ{Ef7wmRGNS*jY80kJzf-ubJ~v6ot>ZCzI)fc>QWQi`o0^LHN5M! z0GZ4`pN~4lEfFXg8Ig~P!$eYz{h06>lt5HCsGn0)Wv#5}3kwTDS~@z3z$-U5xA>mM zQU~m@`#yUIW{9*#Rron$+)4@zs-IbAK{@WjGI}*~N38Z6JA6U|o8X_{;*68p?Uao% z$%SfIeWzZLVO2ZKah0__`hgS_6jX+Gid_QJ!osX2ZQ+zA1opiA!IY-AZ6Y0yfm1#r zDnCwNQZ_ezoiFk`$_!#{wLDgb8r@wnuncv(Sr$V7#aU*EG3Td>va&dn$FtP#b=S31 zfuU4(=R3IiLoh_I-i10|{88ZPGn$Z9XpbCKJhnFs^_az2*5b{CdR<{*(K?iyup-zS zDfAmr!4TElddIfv+U53R$C4vTe513Y75To76OFe^Fh-Z!G5)`U!2$7{F5=5YWaOa^ zv1nUX)zKk*6OMK0aaU5pLgBlC3m*y!l?aig@cmd)QbyVFB{4 zdK2`u&@k}MD(?!truKX^5!-jIL%hOs!l{+#!4JN0K&bv7JTS_ml~@q-ZyL5f6bt)a z6t`^)*WIU|YRbCZUD(6bubr_`{YDcX8UT~&HRdx!QU7NWL1DxlTI1CyljWuAPo@_gyPm7^ zZfj>vuKtF46etuq8FV>B1vA~?Z}|+vJEzk3=UMpi%RbkbRQVrR{yJzJ4X{J)u|g^6 zdNTF2UbH)xFU;&cW|*024Vg3$sNI;Ip2`Fmr0p{{L95r8{P&;qEYVGOsiObhoQEV@ z$$I3Bqz5y|_f2&@%%%>{)(P1mX1eIWYb`j;>^E5~mx~MGa`vS(GRB^FCK%hCpRM=8 zsBrzC1#ScirsS$6?|m-2aF#J8>}0sU2MzG>@*xBycEdXeb8^{_UlMV6cr3YQfJtGY zjv;2xcLe_Zc(PA;dVLl@-!Gz$93$|(i9SyYIQ%qw+Fij60Yms|9bM9JN68^B_g?GC zYW&z;-lV4gukRI=e60j)dwrNRd&+}{4 zOxZO!!TEnVfDE|pqdl=}6$cFrAw--v!uD&od8CjYAF?Z?m&5S~Zgl(*S)c81D&uoS zxkmUB7IKq+MZsbx1FfXjYIbB_CBUBqrS6eWe1XT{R zQ&EvIY1FwBYV1l)tY&dgCf@VIpl_C7cVVNXQHS)#bp*qf9Ga@vH;1=G-)sy2|KD(v z3~K;}-E_$X81kd z^uz0?E z*~B0gjW{gSEDeV{LYlVQft175Kl3Tqv$F-;0ud@j)u1*N9Vg2{_r$bT-ONWQUGb|; z>Mh!T^WZkG)u?+hP)z2wt9xIuf|>H;Y^#NnQ^t*ho>hhGn`dmg1QQ?gdr>{Z_ab;? zf0ft$!fvYIbiE;KzB+IlW< zn_ae#+31{H6pTwXae6)cRE_6)r1;)J3G;gbShAV3ZKA=Gcg z^1pY$Lq0jScX`9&j5bj^I4bRf;PNAPo6dJs&G^kJ{o<}${i#p1W7>(P6s7-no;+1e zcyta!3l8p}NRl}f2bk#WsxGK$-76o(`@~JeDM>DmBz|bfJ1CIf#Qy?4rX_%QCeW5R zUbHqD(t5$>RYKC`Qtb|b%f5d!c_(m<5YfkxSdJtcv>iH&yH=|zF;&i)h-{A7`Ynm} zABW1u(__V$(Tlk-G3_pj)D;Ue5=J@L!h&e)O1bDUjI6%gHqPvr9gr@CQh$`m_+ps^ zX(Qh(Lph6-H4?~TIA+lwTh2CkpMVio4Z`s51Fi9PV+Ud8K02OY^`MS~sJ{J|HoMhd z+J!RjBx7PsOd+o3wQYT6U(vef9oIZa^i(3&^Mh}fIb&lT_YY=YAG~q>743G|vVH#5 zHuX1|Q@cmK@1^P+feM5ZkHjxgGq|EBAepYFmBAjlK*V8|EbPDOg*)1bm_iN-yv|Kp zT0SxSnEa@S0ig=od43Ru66yoFogCJZ{5rrRO-5E!NVUXKedvqP^tB$Ya*J4HsIP4) z7-?yPO2vj;7)-Bu`zSrTWdjj6YtG(z4DNGyi&@4J^SiENQ2Y}Sg%eT1-z@B(TPsmC zB?(s^iw=i9OTff|xVt&}u=Ogy1k;>;u~P?~WZ*1<+JCPZvNc)5V|7{Yr*vo?>!Vz~ zYVQSiaI{B2ejCclfK!>vD1mZ}Q4U#Y;UDYac<(r7F>@calRak6SB`Rw8WS^Tx|z9v z9_RESUwifvhIIO7@6X>0bek5u%g0H#b%gP(DbLn&S27=~PS!+eXZi>)DOQeKgBZN7 zkGrpeNzxx9%!mzLAJpJ?slkrQHs|4dJTQy{RAM#^go=*dc8;s0q?V{(hbRRjWryMP zX;>rOWr0}S^C7DkX8Dg~WQ^&}QEwj3XL(`LC3^<53B1HhkamnB*}r()ufJVV7HW0v z)QP95&g^-Q*`IK^&52u*66^i!GC+hwOe9+^<$brzx zCZ!@*C8@V5KWk@PiRv%|Psk2d!~-0ovqd^`r8Rzzco=!S#D-0Gu`%M>EStE@ZF$F@ zcZ`HX1?}uA-Wtkd)@*i0B!4ri3>oug0r6u~`=FNd-?&StPFybu{$wo_CQQCy!C{`< zZBN-#X7bVKoTq-g;=On$9}5OEsti}!z@Znv2$4C1QIt9i+kso*V8x*XBg4HnD3X0C zX|y=_#W1FcPjs`Hc!yI(B&jJe^40fLPM6OFF6$NMQWh#$4v>*Y92a^p3wJ z)(D36k;q)u>vavX1EclXHZ>1i7q@YX&Oc-&kTnThwI0|0?D5=df;Ww~Ej$d`KKoka zo(5fOfkZ}1&44dbuvrIqKXtO=84NY-G{3`K^+Le0d`m(bE4g*oqG zQREQm=1PpNib!2)65rOI8H%9P8Drx9epg7p0(S)OEOCD5;=Ahgbpje?tXrEYj#GDS z9B+&K07?w>rYrv66%jrG>{1yV6`bbk7{aKJ=$s#x5t*~9o3+0F%X>~i4^&VW+9>?+ zh2V<8vsmUx{`=?L)2Lpor*F#f%VDHolFo`ux}q9LTFUEYp;3C>^t5Reg;asB0geO( za6TBXuDWM0)L(!dT@FX~2gvuRjL~_cmHtD-_X4ziXoOE-ljj90Dy@J2D2IRT)MEoHC!_4dE%O>1z>qc35Rd$A_zv zT1w~c?dph`-VQDf2aXsJol(SU8+w>uO{J= z;=)2WDq@W6>~{0J%EK2Cl${kB6_Fw29Tl{C*_GX0chpNEwxrz^_yf#Mo0r`o0sv=7 zFt0p`XF&00JkMoZ{uvyH(8PcomOwmUMZ7{5vHSHsIcxY17Kfo}ePynHMJ{33S2$}4 zQLTUB-Rz`lItLz!A>n@c>eX5psna_HV@=I2O;ypJ-dNIs!9f}(rpVoig1Y~Y03i#; zIDHl2bYrRBWl~|=3*P5E;FvXw;CTZx)a&Zt7x6=4S9 z<8yToj&*d+m_p-EfMd%!mR0M8$c@QI!o) ztO`zpd6y7JymGs4u{3xYPzgpme3!!HE*Dt{Y1hU3-3~s(Na5${^0Pke7jT4OxZPf1 z95DfxF8>;~bx(Wv;vYZWGv)*&nsUf_d3iN?J}CeQC|Db$%h$-^SmfqM3k@uK6(RQJ zEh*dC{&8wAyAiNOK<&ptP~q&rD}AS?L$KLOg4{%`oz|AP7?5)4LVvsS; z{A84r#+R1n^bWtTokM=I6<&#SZHsX%IW2uBWao9nK@Ufa6vS&tDAzM1rCtez*hz^S zRR+hfa8?G(ON6p3sholhz=Xij&_q13pdD(vF~RUZ@#1mZ^wKB*k=nqRDMc%(so{B2 zTENcBOJ+vW(n#J*zKo!OfdPycJg7repa>}%rAz_bf(f+tJozNON^|Ku z1c-y{aREFpAY&L_UM+M3jXXj(g~xXibO_Ygc66)RE@AK5Gx3<*=1`%^$Jb}4aT6LY zH5`zF;S^bzMYSM|)?W`XdnM(hl;ShFAv5O)r$Ub!(L-+QmU~GsxNcM14zxLsUb52H z)$1`T0_M_r+!1tkd_eJ*GfhrO(a_bMN%;ofKQglUGu7C9Z?dq&s42z3xuw?8$jpqo z+GdR5MeLdj*#rHT{ zXC@h~`o!_qcT6+-+c+ExEk{0W_p4kKeinS1$T?#cx{HeK>zd-~3sH9%(G6#tsdG13 z$2Fo}+Z!vlokV_9-ktmuei7IvL0^k0{9_VwV9mGSzp9jz;Omw(wvSZ5VWT`m}xV1@G3R zE*<}=Xm0SsOE}#t9Uo5b9u8BabJfEzNF=FnsMy)#2L}hip2^9{8=ITt+`jZQG>f@H zgj=_)?!V$b370hW3*yKrvEDys>*5oA9YOh88!Uh9hlv@kS7A*8`Z_?ZP?yTNRZyp) z0ajqt+rUsWF<#!OG4fhx6txCi*u7?GIBz=8B_glC7g}+S>fVfqWE0;69 z2@vx-UK&B64EPOp`13>B5r!4s#bN+1IdqkmM_YB#vr(1GBYNpv_KnMU#_Yy(+9e1d zM#fIe@AmyG#A)$;I=0`GeVWNzcnS5lY<01XiQjh-3d_o^#@UZHBYT1;l6vf2E47e;dL0SProYoU$Da`h#OF<4x&0i_+S5ThR z+7^jQZ;&oy{916)lC6Obj`FI1Yh>P?W+kanvu1VfqS)pL3X^l0z!p#E(PVo2i}Y+o zKZRHP@#(|krN#QKo|w><6Lbadl+%kt@yP+g-JgK9Kkp-K-;bmkN?yeWD;-Sf7T#{M z>)({a6q+k>ZC-Y7MiV8C$4XCne@W5M(Re?xPHnRw5FkdG>(rb8&Gw5r{P}aZ>0G7t zEVXS%>gil>;$!|#<7=`4V2};E94roYubNrpusUi``zUHoC8Wq!~91N=!`LIaal*=dSJwqW8@3lfDmE zr6fz>MV;W0S5Pn?Tsd#B-s$nlWZP=JEXv1^y3hz;_T;!@6sO)4UhWX|e07!DrX(YS zEC{jk*Cp>p|cLR16O~y&^ad)k(4^5u6PyLZ>t>Dku%OfVj zAP!qTX2d}?O=pGK26Yf+4Glbs`0ye0z7??H{lmIOic^3pqfuUXi}#FCB-4lR z*ycUhI^}xXtsM|8z`uO`0eSV(Z=k>5^q@TGlQ4hX8{tvY3WGJH1+Lpy_LDCYxw%{f z$N-cRp}=;k%g9{qBJmsH1vR@Y2Ohw7XtOMFaT8Qth5{hMSPFp1YD@5@_j6|ra&+#L z$IMhsDL_glAtA~8`Lk9T0j1yjr>pFqPuUs9r?in`6dh>XjO*-0$LiM`y_6}!x{2NH z?Q`4<`tv!nbx{5KF6Hh<^u@PJY;mP0^h-fvP-VDDw@3XXX=!cE1xzY{699vXwEW0& z6LGeHRit6sBjYUBo6Q2zrLa9a9dJzR3l1kTwp8>w$Rc=Y|8mVkh=eCdL_b#sx2({t zL$|Hy#}QCxv((^$GNm%V5cT~2-I&SEbXoUBhPn&`AbG!k4-a@&Xx4WlFmB@QpM72%ua+wrt*8;!hgy*2o{gD2ci+Rgm8~}=;<_B zqD_Zk3S_B_kB`f#sxtEOKJVg~2g{4Y0^EYm@Rizf^7qSfBfI6w_QuC2UL3FKSN|$6 zWB8IP`;wn%sLDk^tZ>DQ7+EX_J9Vh1fqzNP&6=UM$qPV>x_wKaJ0*LK#)6A0Xsb&0 z(iVDc+w{eR?>^UY-l%|5Ab&uxu)*@vxZoGW<4K3z)0sa2{A3B%JKnA&ye+s@dL@D# z5^i!=Dik7z{fmwDXX|F%WcX0yKHE53Q%p@w4S0EVdUvRlW$4Gww84P?A+N?!YsL=m z3=Tqykt@>W=4Juw)^pa}@-wz3jMSm2-8&0=yrK0|m!&Lk_=>A!U7lx81fYK(jT|1Z zkc8gT>I*;RLB)Ms&1v6aok?4eVX`PPyc31c^$QC=5d}|{1#Y4)pwfT^Ig?NOcR$Fw zH7&mQL_gl85f%OQ?C~xwoIaF{$V6%9@eV>?9>+k8ktpmy{1jlz?%0)zY}6XsJ%gl9 z0<5R4yxwfjH#dFWzvc?V5VT7q!$Sk-<=ZZ;ywG+KRRmN9s(DWCWueV6j?M? ztnWLaT+#r0WQ)B7vL9KDB+#=NF$0MG_0!KnmZ%{6t+5%jC)4h$4Z|gX!0au7o2Y-q z(Y#n?Kk}Wi##y;JGR()l$%;PQk0JE3dt4lzqsU~HQ&y%1@K($a<#%;G8qr??OCoC$ z0iB2eNet@OW3ZUzWAUS7XXb(ld24G1TYqSkZ71SE7&6GK^#ZTS#P{dW8VfU%EomUH z>46^0kezPx!#g15NwzZ)+QaylzHPO`WqAO(PWUtw?Ux5AOJ$|2c(;RCn|La7###M5 z|Jui^7B(xba4U2>v7M_KwZ`0-@k6V6Rw4@&4AHHN4jrs;gw&y*PsY({7>yhup}#{+ z?2Yd`hT@|l%E`+M7J31bM})G*qOP;sQiDhj^~FM60~wP`O3@doL!X8=?R2#s^%le^ zNxH(|PL>qece{b$ulZl{4&JBp0-;66e6SWB+vVGxCgpzY|-uw4b4q){bXzS74gG$9e@{~mL*LmZau>VGkx8K zWEWXmCM$Y+JtzJKBBRNadogva@DC-pk>byq9}WQe3?K;Wmv%hdge0yyb0h$=v7c@- zCB>_gD1j*@RXv+5(dD%fcZXfZNLP?lD|0Dit=vhV`#tXvh&ac8RNlzYjvz%23K0z! z3ub<4ddT0J;6r({u-)I^PbDr6`{gYv`W>44Cs&w7xWZwsAkKTBL|?-ELR*6>WMcQg zMYnssWo#oxAx38rY>srt=d8mBw7CC+gxBbH(;7>L9q-d?O(6>l&SXHQTt7S}HAWcf zTwp3Zn9$p*((=~U@=Wr3?C+;FtxbW~4jznX81dOXD%*^4J zx>!=?_sgM2r9hCcb(ik44~wA`O!$ryb(H2-h>4rid?x;+z33vBCAGn`TT<`$D`_{F z(Y`+r>Ux7h`CG(`C0Rm%u*)~`vL>#k-s(bo`jqu=!r2GFglTI|^4+3!CHMfTfP0JM`vTl+9YKNRFUk?$#gXI!4T z^1Ite3tDFUP&jY*tIXO8m>R>a_hy~#Fw4)z61XGuf+Fp*11_h`ir|37o9r`gRj#V9;&#|Zs8|| zX!6QneBKWJTQ#k5XHkp8{`=aX-V4h2U8@3{e{g>X5$Gt_#}zF58)Zr7MO!Il%KdqO zBK*$GiC0&XSczf=&SG>$_Q2l!nWz12%L@otwISXF~GRkYv8`Ep>7<m3Vj}X zetZ{pS}JZnnDd3W_d+Xw`XTbb~ZM)OrYIQpB#D5 z8s7uF*M36|v^2#d2@u?MGNY;ADXa#1H9JXdB18lP99Dw_H8^^XT2B zK$9Ss8rzDqTkJKKGj^nFyt;C>3Bss9Q$T)Bh=+>UcmXB)w%i?gz|Le_SCU^tU=s9* zc4(q*tkMH^1kgP+=)tAIFvrgCY?Wn*rmjAXm%X)r>2iOr#g%sMO?c6VhzPlyNqX7} z>(NYkK&b#WahxGzlZBd|9@0{y@TvzU@a@_<)Zf)2)LwoZ+rFCwoJ!;xX@iry|E8ie zj5H%Ejo0*TYM+U5Wd@Rc3Y?3v3T}#(=Ppu(ZMr4$gd7wZ#1pHQ#~kFmJ(3%6WVi=* zfSbs>S@e!{T2i9I**V*O7DusYrhh4~M7!_@VCSEcKiQ@mWw(n3yjTS|-HCWh(qFOr; zw&;HoSef+*nOEwiw#Dg3!%*9QmB1&qh>>B*rpCqz+{3#|^-@uB8mUXE809slFQO9$ zR8dhB?A6XRI=o#<;9_gbtQiXkbFq7M7y6yOx&4Il@#G_Pxz*2eO_Y@#dxEEurFdxB zSVEO>yYPK5(PrImo6k|KqI5M=RC|?4;`IraZ8*&2dsgPY-bUyu#jR zr^3g-lKyweXis*zPAKzp+Kgs`bGr7;0MhgIXLS?YQC^DsdxXaOhQy>KCKU=)m1ybY z{l=QZ2Im$9&p=i{9&vl~Pyw7(Ru)7Y_PSC0)9?mPZR}<9^^v3}O@ij6(}i|)O>vnJ zYg1ifV&)zHwWXMn;l*_ExaCwOWRUj`Hk#s=ul7dZJZMRj{* zpjVG|Y`SHEndCrNL$6=I3=a%1(rY{wb)tZgc+w?kx}2IkcKUlSE8k40S_o$mC!luN zm(vqQXMqtDY|}4Dxx?JPnVnm|c=6)o?xAn7{6}-9i!x>kB$l1^u!f-m*~pKnNl_7h z0u^@GHU(^Oz<69T997LwNHeHB z)2uT95(22H08^x`LN?Q%w*9)~evPz+gn&;=XKVtUmXBS*Nw~68P>ckPnA|)Ym?%i{ zTk<0_g1ltpP!u|ewaL17}%;?)?oy= zt5|`exD^rW+q}XLG&DA$H%KO1^DFNnAU+n6@ll+WK?R!)3SGj!rKh=GLxhthHnv|C?7-k>5tfVzpb@<4s53> zWeFu0Y8E7>rw3jNF=u2x9Y~;n>zOvYFTgzbLJ+XE z5dgife2y;w@eo+1<8qwmIck9#lN$lIJbQLK@*Bshrds?>fPMih+?Qho+Oe#8Ghdqt zVpT2qxgvmCk_=FjVfEQ&%)4(OZLP#eeZOl9y3S|gkXDiOv}FR?Nq|6*IGCvFoR zNC4U4B9v8d*${YhQ?H>R6uYbERc3^qxdeaYXfm%{y~xwadfZy90*v|t6;fl{(>X1W z|3lwyVCcaE^z<7TIvTpj;E``zRJPe5v7FeFJWk|_ts8v6SB zi)Z&tJw4rqb5d*3#BK zUHO2`<#nLj`pOqEkpaW@hyWT9X|Ki84&fo7%AI zlpIu*G~p^hRuFj6n?RJdZMg+^k~*b0RVbw*0bp`bik}9k(k0E4$XG65xz#C}`r&K{ z0;t?u6z+G^@JFq~N-RTrU__mLo=)xH`&=2{D4?Q^)9ca#qPsPq#pdPbKZRd3Q1^iH z@n2cv07wdPF)WJ_iv_Ohn~6rmkHCa9+LI0~1#PqnLZ=!06jfNiR?X zw@qSjAGz#ekgQ@S>+d$0Z3}`~6Z;YC1S)G?M(_OFt1rog=TE@=$BMQ>?D5)ykj=2a z^AmbuNy#MY6T+wseDCg2+m|ab>CY(WRdv?dr7l!~bEa)M24Tn_O{20E@+7+Dw1`BidpjfnBnO;jp0iHQdpf zxp{l`=1_b?pglY@&pWlc)-gcK(LkYhBCHHLHTR?dSPi$zjX_7Ip!;kU{VCCzbTnbD z=u(vxy8G6!8Q@o;MgVTotUNP>STc5wvvF}!tT+V&5%c_RW({No0_?o09ZG|fpQCz0 zenpJ3afDl8Ag*jeQ-4`Rl7+L2Qx0w0*RgmE&@WPkkI9_Vm)mPe!qZ zoaIEzA?C#6;_)?a3bpiN0|H?=@?|RK(;>EuQ@wkKq;UO;1D!l0PL!cjexZUi#UYp| z;A)47JCW|iJyV)Kj{9)&yw>K-k@GFwwiWoqTy>4I<|qT z3T69;;v<%or9mELN!H7TLERE6yhx8bEn5JSvt0&*Qy#C^IBs^3$Ym7$WT&PX)y$x zTE7A}cS6odQ{1$noF*akx!DFAW!dM$V%FsLUL^cc_|OX>f{+KwK&g>Xggp^}xiESR z@ZSl#Z*f@kVgPIqtsMXypOX%huY89ak9LpeIk3#$UKs$tW?KV==jP@d<*R@d@oc|6 zCO)TyC+v`ymv;my_Xmn;lg=W?f^}UC2{ma3h%d`IF~MD*ol& zDJF>|AO*9f7DLv5v9sGuUj1^@#(ePMf04>gpQ`2;z|Dt~TgG-7P?%-HK zQFEqau}(sER;dad5GXBdcQuPZ6a(wxG*1^ZQK0Hv9Pjz#X@0Xcl5qpf7KZuTZj^(7 z16SM6u%)mmFTB6M+0L<9X%8LF7R^AR@CgAlH$oP@Z`?0w#y5a`t>a6aX-EQ{xq*#?e_bd@l;3A$mv75&s$~j`wF>keJNYm%?E$^eiO4|+% zAjSr@waMo9G-znd0t@cl`}>d-?OFnR@rBV8T)jo3_7b__7b-*|B8s zZIDG5M2ZI2lOut4GBve&H>95*iqq`{LKw{3Z(#z{N&qq zQHzrm3VBlimEI~+L(lk^MK+tjfC!K&-)Q-46^O4iE~{r2eR1>z&p3hFVLg@|sNs11 z5F`^vHgG;BK1K<PYihCEk4h-1nzu{=24E*w8 zOl0D!-t7;~!G%X>5+hV^VYE|Hz zS`v91x$pv`1WQW~XY#_JN<+3Ajtt6~*3q0|MfrhqPTQRu#%37!(;Xb~m#=Shbad+C zsfLD!9iReukuGLSsK(0`@1OgNt+nnSsR3uVv0Nx%-XaG^oJX$bXPXyIn<;Gmt(vxs zLT2#1(L@M12wY|L@2LT@_h)=MZpH+KDlJPHP-R0UKwTUv`~LDa?>ngEnV5i~9A5XL2A5STWbhLb9!v?t!05SPoCpA#euIyeFax$e5mCpIhxNR8)@t-0GH+VWDhWGv?piABQEUZ_&D?$$5GfT>h*otN$UpKG^i&HEK{1 z@&<4_zFfn(3KxTlgF)M21pJXvR{mx&1{Q5KPB0fZX-}MpN5Sdp#Z24W?+Lw%kmS#_lYj$;5K!V?qWd`rkdxk_xAQw z-sNyve~5JjIU)e6VAt+ao<#~MnMiJ=nkaf$EU92#Tv z-_uGQ6Q?AdZ3*zbt2fxIWw5burzwZF&GhPp!cSZ(WZQP80TKYr7e7zV2ZUdabOCIb#ysGWso|zhH9C=uxJ= zO3w4vk0sxX3E{*hY&P{pZu3p|UI&?R`(jmzF(*7aUe7`}R52#;ddMy|5yv)})0Kuc z_;$i;D6u#0@HxN8iH`{4m>^0>l=qUYuB!9)d@U%Ci12DBmIgSf{f-(4TxvL1$_oRw zeEcL+1NKINCyYL!6P*E11!cM6de=cl0-=k2pQ+!R+ywZDP*4P3sQ1QGVz)PJXAeC< zm*X8F7!)FDcSlX-GlrSKp$8POJe5q1wpb75unWGWJ~HjDc)Fdp+7Q)*X**ovUXx&?q!2X98`e6u)`O}cp%O|CQ;^BcpzhN{R*k>AH>d+9>r=g7 zfWfR8dk1q&BKo9l6IaLa=}6F2q?eosLuY=3or zl;Rmf8c-&bjat!`_wnTlnQ%(`7p4nRzLJ^l6azygYbuEna7tCq{l|?G7O$F;b1UmL z7XiQf7NDuT*VordPSw=WkZdz>*8cAl(@c#2NkZD%&w&bQ11z*;Iaxr!aTO7A?B2k% zGiBOoBP)taOf&fn>_Ul6ac>TB`rR>T`lC14Kd-xd&|P>t`^ zJQx84!0N)daDyi9N-;+I+!lKuPmCuw(uMN6Zz)j(oOECf z@d7i;JScM^1QD!U8BDdC?eXLw6VP*@;Td1dnU8t_o;lnu8%7i1-N?>$GHJA+1$}K* zD}S}Jbc8UoYWkO!tz8}imlrq<>~QVXIsFW>|8Zoz2zz8F1^6ZtP^`Z&4tDo`-jhW z^K^Dk_Unr2m0`UMoCXGPLM-Rt7@9q04VidkD(m!*q5ZR!2Vlp5mJO_rz|fb5=Vb!U z@~m5`6hPFg)d3>a8%M6Ft(_c~|76C78i_lz?wR#NA86PDv%1syDt(tR-`36e?OGhz zO8M?rdAax=xD;vO4%!K@XcNo0K_)~~>6`E(ZQ8);j!km>`rWLBz{Y~jWmlA>I>+3g z$(L7fq#5a`2pz^6u%ag>$72ctHBFak$BF{3u=gCOyZ1k82+we8L<2_X4?s_NocxRF zGV;MoG$q$N*0@nbfM9`;l2rSkNYH2U@GW{hQN9gy9#D$fBwhj9oE_=De!bIAq3;*+ z+Qquw_ls#fg)=?7O7hf#%+bGnx8W+9tOhOIypH{I2A)VixpSMcyL+_|uos(|+-P}l z{v@peJHVOquD5$8EXB1^-CWHbAS_w{hpbQ>v$EBV81Ju-#3Hs%;;-Vs;_yg*P zoU9fgd#Jrwk_Tq#kMR8$9Q0N`d~cUymI_@hL(2Cm9CE8vf?!gFV) z+uwI<<+xX)>sLO!0R%U~Q=ewtcw&4(a+;~BN#{icOpcYhHhOl^mcHWvKbD8yxE@TO zkT1qM?Je5&LMEr{$_(1n-d#7LXE+MWAfI{s9^7e6R zC~i$6Rr;?~F1~DO0kR`)*C31I9DVXROo=3NBq5c+1vgV7&;tTVTK-&dK?P}8N5$g} zAPfSqtqCqL%u>J2G&WtuK{5h(>C4ORRF+*&T2XQ= z6kFD6%0aDuBPpp-4WqN&yR~R`cn`U^yDA@njV)bZ2$QadAn%{&JIWg|6z>xW{@$pVl05}{m~6Kd6%8^Rd)ACx%vJPuQe%`2|8W}j1s7y zUCYD)ql$ItoxfuVih_b3pD))#=wldMa`MR^*%mgHE)LX9h9kE|=Lf&^j12$FUwl9| zY5oBQ2@a5>3t6C$m)}g;Q{k<5Zn2Cn4iMbjK^qZ&uSOQ>$i}tb-sNk2gA%zoZvv8D zX7;c3+7gFaAZADau}rF{Sg<}oP{s6Qvhd<~xlNa`0$7s}W{Eypm}9nZWZh_m<;MRK z^-&{N0em1-aIYK;6sJRqv9H_(i|d`8l1~DZv4dw9Rzx=whbBNht^-<)i7Z^lL*j_O zOf$Ns99_fan$AzaMZ%{r=iR+MI}^ttdxxPoWl6p@5IxeMd0khz;!fhQhtO{ch^0`L zioVbrp|Na>*Mp1QTzD@|7)Mah~4QP(_m;!DHDU%j>9V zEj09N3Yk{-Y`MO=*jU3?$ex*^QGb+^th!9VkyPRyA`KpT?th%mIgeZ<8OJUmBO}u( z^IGIfUFPOI%?_od_cHbE?QL%mI1uIp;xc^vsJbGS4LCq$48>G)gpcG4%`UlU$H%BW zuOz?I9yAlESaC9{%j`Q#@oy|9ahp{`cxQq1wG}uaVQmkwdMpeGs;Jab8iKjCgnjI! zB99F?k`dk2z_~FIjyGi+s;VnL{(!#&Z@U{+15|EiNuv2&cp{xQs!qcNlJ; zNoZ?tA-)%Bt4mLXwn(!OPG~=T63|}HEtk0|Z&D%hERXJ)73KLjci2mYwa!m0S2>4Q zRTi2cq8D3^$*!uhvH4XJmAbo7C>MB`G8K~oW(XK$ZW}Mnpky5Tc4Js|$InLKfk0rQ zko#ld!9o|2hDHb!Pag7;>EA9uy^XJiXF_{m^L-7=0-^8-xvWpiks}*(Iy-_E9jk#8 zTU@7P<8q*P$?#=D{LYV~5nf(mI9)1V?|V8f`!Hfn3W=dkh*dHDsb7lm zu*F{wM~Wlt5~AnsE;&7ylM!uK`P+8|6n=G-+`;$}hsIWEU}g%~;&{%iqo%Db4g6;V zz>BIXuK$@b=6w|UNPL4#nV$dM_HF0P&8zKUd8PDn`j9({Wpcq$Dd`}8R(Xu8ctRdQNioq%k27sTVV z%=SSINS^Vus!PJl%gZmRshaBQuQ`Y<0SApYNunl%81DoUnY*%iZJ5_VJUK&a+tayM zedj|y=`C{d^pp%C9_0cyoL4;q`FM@lOXaEq*Hp*@%q9Gfo8nLG%i(@ES)m6`O*KZe z7LgAGZ(<;F*jSra%rQKu{D*0!FP||XA>q$cJtYsqgjRF^e678Ht=&|f+gv1I8lLRS z@fg|4aop&YlluB@FKXj*T_WFiB2mdGZq9kuV~~ZqVDu|2W-W56MWWwU@X*t7Lopzw z$pb~T4j}_p(&ttcQF(vPy7BR|y<0BuD%k_&i99Kl-#cL=a(q>KDJYoqqYODCUCrGM zuV_goH{naC!1$Z*XKkStnBw6+g)KMCzY?Q_n3;K(Pb!PKtcHbk@Xfp zSw>sb@JmQY3j&e`-Q6WhH%O;6NVhZsB2og<-QC?S-3`*+-SwTB~gDzEuySVn$5Q!T0f8iN&W1 zd^x~zAqrT(nT+&wVOLe~FdvPs){}B^zueS+2>=rMOcb(52i7xJ*=2z~J*LvvIY=_h z6=x#?<`^73-Y+HISl`%fJ{|`^P(waS%n4pHYp=WRSz6~*m0>~TRHkO`g3VbzpSa+| z@?g8e(tcSHhBy(`1cR6M8!4df^{KL569p4QRLX;)r{qJfvt9biO|oh!w(Y)Z4IGu#^T8BBHzaDd6O`+M8u*N>h zC%$bNRaM?JL_H$7?9^oJ(R(Se)|xHz5ytV-BGG;;g5^sz!)}w?o(hDot`0v$R66^r z`Ah}{;RLpNN(IdDD4Uw{v+$+lCk~pI(KVqwvY37As{j_~P^K4N#nF)+c#A<40c{z8 zwfUr~Ds=>M(YIPgH|ix>THogPo5W@I3wpo$C$tu-GCnWQWR`m>Kk3F&{S;QvyLRDg zxMSC*D|@lp@o|2hyV{b_!~4sLK49%|gG4}jE-Hj?jf>-cV@EwT_w#IqSrJB83Z)1* z?$4V}C55ZsD=Uu~4S~U;xjD6Z2Wnc?;@|&3 zQ+d@FjC0YtVmE{*qsDl)0!?Sj+CBxgyu#j*D4cG}$(=U~J8*hygfHmdoYT)O4vmIO zygq98hi7cW%o)!E(*ZW)3G}$0Ca<>(eE8jd@Ll3_=sCPGbU0cBE7WVK|ECKN?s!P| z@h#y;=;>(!r$<>^dk~!8W7j4~p?U@A=b>z(^}|HY%szsgqm{QN22PrHS#azXqmgv+ zSS;w_jhOFcO>i1RmR{T@+`V5kc0s75d}j7R;ebN z47MOM(@(iT1q(d@IiRBu1e}P77ymK=W|}xFv2PRnfE9}twOWyiHkqQpBCO`+vEVJ@ ziVbI*N=SMvC3R?cui@%y;>x|y@V;l%RI$^mTHzSccO|Z(PA6aPCQD`>qDrvIw!Lrp#wVd2F4NB-6!n4SB{T4k8Y!n)=}vxn{x@iH7eX^)HIRpB>N@a zc#FyKjD5zETw@Jh*O6Rpb#A`oZ6bH2pYTM}wSN0yJQY~5#9->6*8GA3!g>GFqN0P~ zx22$MDk&+6@_u%uBVgy~DCQl=u#|6b%r>JpL+-D|pF!BacNCJ&gie}In)u!#!pIL$ zFbNr!ruaPiWOD2LOqY*fC-T1-x5Vg&;MQYXT5t7;!%w+zr%VqU?wi6oTLX7j#-B3bp=;U+Vp+_*S?bHLe-b)v6-14`T3yt0NNh}85tdIEAe{xa=AbI!PS+ABf)at z1pG&`h2;fK?Pm`ki1~Qs`;&Mq<#NB)@{~8nJA9= z+K`o;@4>77TTm0Bd?e&j9!XP&qzMh}C}gjYF0n1uYHp;_01=Ys?@yW7TF#&|Af$@- z!0Uv`Z|7J1aCb5g7g>vUM}h65USW#tKl8YqVd%NV%OVfWgJWi5dPShOE`*R1R$fKr z&%f#i1R2>Ic2d{vH=uA5eAtn@84dIF10UXWu{MP7{Wh(U(=J(S8ZEz zS6Nk|+JhI;6WnNzMQu$$V7yd=7tKM=r;VwXs^c(f;ubow!T}(|a#9ZZe3HyNo zW7ZhNEtuo(U6XE#1HX|VxG=#X%n|3EteK}PFXYFRC*N$d`rNx~_(O`w9S`hbO?q65 zogFprWM4$=dKrNKb@=X^y-c@cHU4ZIy#U*OZYUX13*3zIs;Zo6;QKSOm?>S!)XivU zNT^wK4Qg_`8k?RL1LuU*WXKTwGZ@rW-_St09~%`J+3_xnuiX(?PMb^AH8l$w;KrC3 z)^&F2FQQ$gnuaSEQ~Kx(Gs;pr${3hXV>Aiea`_bt`X3jaOObJnPR8D%=)o+TUD}rx zU|)rwCHQ7LK6ie@ir(4loKsP_%&wl02vXwJ($&% zKAOvLTW@}53<16l4Nc9Ry*;<3r79C6nAFNjo9!r7rdxN^uYAZCIxP7uEu_v&;$j~B z^N;70lFip5ujUo4^J*qE!IMrUvBtON7Z+#O*T;j2T>z(3-di|)UFlU+j(U9bWB>!l ztQt>5U0q$T+b}#^|JK<993PopRH#DBk#GQ~TalY99)l?I-*?XGlM51%9ZYWE{_Ei9 zwUZ$CUWI{N#Qudq;N9JY^V(X#n=$sh#%W_P-7E3RRZf{0j2jDjcQ$ji`R4I_NX65o zqsGWxs>xAlOMAYC6#_4K_NpB8j{rY}Y>pD1#{%=IzlDnJiQ3R4c5+5lRqUci3{olw zdz&wY{?kNGsn@O&^o7lBY`lCE|w z@@xm$b;+aS%^L5y-Z+Y75+Wc_Msd>e_g<`6urLd{QOF(M63VP}eA;&p8Jmsi)5AqB zI%z`;6wCP7@!7qrORLm{8T3>Hb)^U0UV++nZste2nM-;3qVvsbp~}3#wq5gy6C>nA zlzu~&aCU1F>xUbVX)e`yLP@%k;%R(UK!PpCA6^feE>vfY-rK#tSN$?o$elF}HBwD$ z*`uX}|Am7zH8p|iB&F4=W_)a{9rWq4UsnA5`JCHT80q8~EV#i5&SM@B<3oIBZQcT1qYm9siT zJQPAoP1Xw(-=AS#ZEC_7BN9GX`^L;(MO`F<61QuoajOM zAOFcSpBIY(G^+85#wNRex?G$)beOF29}4f$~9!fEGs>M^{+R9EX{3`r1^ z$v4QQdTz!*dNz)d%l)alME7s!l^~_=-yfY5o1X-Kw zn3m?SdaZ`-9Bv#R!jZ_1;Z-*O*6jmNi<96PYMGjJaTYY`{IsRfF`Ud-1~Kp%esbkp z>1VjCtgM_14FI*=55DLc3?*_$zVI|QF*yTmBT3{^(TO#NsQ|tpMrl{^@zh4jFTp^j zI(62Qlku1dLh3D*G@TJ4@uR_Qda3eK&)|rDIKBw$jy&ZukN?wEhX;E+QXtpcii^DW z5nHWJ_p!WqZbVB7PDt{7n}p2%jNpw#?Fzyoyi=TXF6ZKp)hkK|!UZ45kdSxtknAE? z7g`KBQa{ct`wk7k3_mJr>a@njL;&M@70CTCw-k(=5B#PW(Hi)e28&P$^?9LwI0kSw>|zC8^Yb~Hn+PP-VwDrIeEuXUKl@xFDTLc ziluwur+&Vq%`GlA9*k#)(jMQweN!6p0?($uYq7V;n`e<`@V$5*=kNf&H9Z#}6(Lyk z9ZUe}y-FyJhlCp)yNrSDq88-P>oAO^R-|2lpTv044kg?daR(Ay^Y`0R^}NH&lUcU% zz+e2B*CT){QD;Mw(jZ_?BSK0#i>A%i{7fo^dqbCcVYTlI@};oo|}Mz>i*^iKjcqj zvitaeabjKF$izoEDXI3FaXqGA(J5hF2iYYVzV*|(Iosv^h7c6+HThHDm=~s|>g_N6 z!*0;ZmjYTZZ25?ll{s$GXX5W;5)Yv%3nO@V+=eRd{&0ZPV@BI*t)DClncIKg<4`s} z|Dnf$j9V}_MD(u{Wd&><mZzUN66*M@1=6CM z?jJ);u4e^3peuF@~XB=z$aIbXZcm)Egt!*)Fmxd#}VKH|2v z`8o)mA_3$!Uo}l(q4`qeGpM~Po}yG;?(%Yebg$JfE`ItwzXcWq1I*2;dCb zwGSWGuAxH<9WFWHa0>ij`_FXG3Kk^m7YgLr4!rE8^A{CnA1#f@ z!FLMD82!=fa2nGMLe7aVBIR#160Tn(2kZnPnd_VBrUb-;gA*+$2>vlTG`{M99Bb!2 zDA5G)L%mG@89@gmWe%j z71wIFwHeyfl1OK-Fq&EZo|w#DRXM7)P~Cf96k7BM%JRZ~0qf@1u~H^pu=cLhyR4TU zz}u}q9%9Kk@=|MU)vE86uN{WEGQW~iW#)Ytr2Hh0P;Qz zzgNvTVy67iJCythccCT4#hOtxf&TM+A;?4anPM-U_jnH z^UX;_=`*cJ+eAHjK8H~`e=s9(#jV|#^8c4;mHV^r;55MAu6lXObOiz06C1sy#^N0j zx}4-LgCFK97z?nhOTkydUUOD4Ls&1jh(1EHLvVL>y^U01{3jh%EQUD5f#QLgBVkYr zi%3WGIw0S~%+xa6hjAzf;E_)?ZmS|$;}R>NAH2Y+l7nsJACQ`PcpTTb-3F*tzh-F& zJvA){acv`p(&2oPDzcZYI@sneBdjkK7tpT9|7A=fDN1E4Goe9oR$*3K3M7xrQ>_ZQ ztA0cnVVRCmnD^Fy{E(0VrBJ^M+a=^y7}K_`r$}lN+555ez{JxP2I6dW>yPSbt21+U zdOdSC42P+tTsmI{7%u=|>dnQJsNlV6`H4joWT}1bsMskHzi1F_{>IT4a+zk(uJnKw zP$+_Taj53tgdtn^T@GBhHc{T!fUT{t_SpLp*#~RO%b7zb`}1Iw_w9nglYoGrOzQ?* zOO(MB%y;p}jXe;Y$I^pft{1*|g;UYlF0zHLx9+x_N?KqD4g~WaO)PxJ`Y*m=5Y0HL zj2!-A%o;7^jXzT7)xt1WO!b@_=pVKXJEm6IhZoaE@*o_Gn=09 zzWo=Adw{T}wwf%MW?`n8d>BF9l2W6w3!#!8Ot$fzHQ#@CSR)*r&@ub`s=;Z*a9?uQ zz>*_D;wn=dCz|VsfYkNJdm%tx?K|-u2xa^MrU;OV(@Xl!C^M)Ibl-Kzw$*>Hd1s=m8-MOk>L z+HxdP^`|#q&#`9?oEDA*kTA_jh7O|k(DYd1DE=C11W+v5h@zHd3NFTHW7*u1 zJ(o(f2lN+v&4TDb(-rJ5*NaIU9GqWqad{0_38VL_xHW`>R)RpI>*;4?bs6T*goKLw zJ<9)h3|~OQj!UO<85_4nfIW9~I&ClUB=?1ick%TpZk6`jN~x&hLJMgVwy? z^yGQD=uC>pX^kLc%Tv~=4+ci;SapvBoD9gqsB|h5HXkENiMUT3Gk*(%Jmr<6{)Tzt zcyp4vNh3ftO+R*WXAWR?|7+V#48{hPnNQL{lTc5r~Hn!2K`C3}>R5Ljyi=fpltUVmFflLLtt63%2ww&V)-*l@d-HtdDSfL_r8R zXCNB^MhWsCN{JDA{7pu+5ue(3edSKNkB{*XbUEl>v$IFVv6@g!T9qDzU)kvqllnwq zJ1gRxty*)oH(26KwV^fPNe8VlDyXe$eNk&kHs#_=leVpkJ-Hd)E9XZv|9*zR!^%2L z1p-4+t&8GpYchYm3!|+IU#1Sz{i6Cs&pVbG0OQCqp|wV(DHg!wpc6%b^R#GiJ3<%) z45gCthUmV-Ib$nkFb!(13AIy$PbC^^a&g?G-n>G*j%tM3sqS)O-Y;KM9M%lQhM<|+t9?| z*wqXX9THvQMGO>^`fUtldNh|l#}Lwj`$4IM*3CIx*7ArB3}Tzz_aiY%zx;8b*847kl_fbd0w z>!yf$YS^PGRQWPibyXC-(zDn;v47RnJ22IlO294Z*Jj4%^Q=Qb&8i_XY#FoD`Nnvxv4R=+2HH|^DB zT(MJ5e<${$_U>C7b&@y0;rn%UR6>9V0{RrE2PkT}-|+^jKCEEW=Qn_-*=eKF1`6Tr zS%Co~oQ;t)&-A5ng`H^{L(GYLto)e`cu4DIkC>bD7g=pnz9ym&W0zXa4a+G_C)t9N z)O;GSJpHGekQe^sL!nJqOM=vP;hF#Q0=S>J^pdO5;ev51P7T^AmS0z%LH@?YDb@R? z1Lm3?zY|3*lw?x*V{SSXz=x}-@Y{R)zYP!nZQrXdDbf~;oJpl4Um2 zLW=~M))n&DSDiZ!6xx~{{7Iy@yn~XQSLz>@2~KP6`eimoHUcGVjr_R& zj*cg9xj%Ql3fi9&VWSU;rcBmD>S@`b_^YlyW5JMOzE$Le4_8L z640t@VlIz7@$6rFZ%t`Bj&g!@Tj-B6`*1#ff8c{yYdFio4Ffy@ff$#%FX}TzqwrA z2yC~OTW$%SZIe$Yw9x0AuW{$T@b&Ft<&U3T-6< zpO=>A>33p+`saIoX_rpvJW`tiYY|d2;Q97FH(%9jXxiRB*u4O-P#h`eArQ1Bo~L0z zBgfVhT-b4r&C3KHr)4&c+TO3opz;EIBCt&=R^J#3N)=LG3mEgr>W7GamSCV`R@NZ# zN^A>7*XQSlpptO44t*(ZGq2iZ`O4c0ToEufSvdx51UZ$&=0odAdTadr9~0uwZ4LC; zR*zl8sTmNKyL5ZWYQaH+zfFu_0Ka#t&T97qK)n@&*1`MXWhcL3gA&L&?^s!}D`pda zA1qea5O}9@uEANe*y~5e$W;@(9k)sh4r_<)1!qjF!xuxA#$MOy70}CSEX`fdWFU=g z%fAl(mXa#YcW##LS4~4r=w18WT z;Wo|IP#Ea%()P@??x=jgtRaf`XwUK=Z#z*?yHdH=k$r8ek$!McX)(u^$9Kk>GV0iH z3A$?_$%Ta#FGR8dHU{M_8s=H^Ea zFA`J)YI^!85?tXQtP@NllK_=>6?Mc)`nB-Ql0UJ#w0WlHrZmQ=peqN@` zd~>N99bFG~dh@~S63!#To7+jh!+T%U7q*fhP8O0&nYtgpM<`UGJKI_t7&g(P}<-?E;0ofO=F_ z$4!Y{6AX^X&4?Czyhw+>%6p~l;B)DtKtyGrK`N1$nCs3EuSDw4rhS3J>>LI_kEn*{jxW0-qKK2doJruyM$i#QYY5 z;9-8T$}QA8 zLhUrn&qyphUV3}&#|8oz3Ff#u@I*E*07~?Gq}FQ1tk$rV9A_=PgJ!@FCh>wdEJfX+ z*=DhW#sWI-%z);I-_&TnI1by$d~sCp`N5T7swC&Mk2dgo2Ukf0`jwb~FzEKJtE;Qm z5t!kNcSr;&4agw{UHLTLGolkOinu>zluiprUY~W$*H=b~p zh+cpoWo}6iE`kZ@NZb4C)=*>h>^pWXAzuhY9?wtBN||$1R$iX3+tRDE{pG zli4G$l*LOYZUyMK#tCp85b|Y!^&edR@*B(n)zuva+KJrV#oKVCrQ4~RT;i)YOF&eG z+N+&g?zBCReMu8X_fXz^OxIkxq$$7<=)xoPglk<=_%Xa?@F(~c*ptMn&W^xsvN2tl ztK+kwszOFJXl(A5GHU~MgXZtT=I(at7rR} z*i7%$UCoLEkAkwJ#(A5RBRd2q+c@P@=EtFtb)%>%Ni_uQ4h5X}?30spDI~5Yw(9ER zror+FU1^79_-SQo-D}up+G6pa5@(z7D_1zcb)z2E49heOO&NMeI@%X;|Fo8G(^_ys z8**MW3ZPhgGn7DGN03)iVgmSMgW)EfBeND2(5IrUtqo*sSt@HwOQYThatNqXPtVRA zn@~bAg&R})4mE_sHzhVwYzId#PLnDINh^+fA73YXV7WBK?KyGP@+-b2W^FgGN;iwA zBgZ+~%P-Po4$(}uaNrWZyYlMRFWYXmEJ8#3$iq`wy8w6;`%|6#&2?!Pk~0@suDqyi zI$V^Ut}YjQ`#=W=P|`yag|TF_=W_&K1F2hJ3jk)5%*^!(YXWpqfq{x)f&S^{%PQni zWzgJzczB4cfXEGQN&@pKwVW3mkM3Nns&3~Q8q?C=$G}PaQxN5no(|pg6=(0&XxcbX zsvL*<UL(~HHiG^)}Nbf8R3$6hBHf%~V*@;B{0i0>0>={})UJGRtJ7{(b1 z;Az>kQH!B%SG7#04Xr%ID}xqT+lB?ohZ?hR98)XU$sgSZZTkGqqwjo#Ua#C$*VM!e zyEYMht@8bI{Moa4&#cDuYQK{By=amXq#Id-PRFsa_b%|r~k?&y$O255rDK}1^Gyv9EvSw z*watbUjNrRC|WngmTM#J?e6|@dSmhB3-1O}Ay}^%&`S+&#kyX>@ahRA<^>^pt6T7q z+27wEXspOBf?QlZ(!}3CqX1J!ZXjF72&OL57OOQ=lvgSJYuq52giFDeJv7KE->aO& z0*di{n4oqA1HWsw-HJ%Ch|CFt?oO9cYM)qN9;x&y3cnw;tbqZI^)21YR|ZuYC$3G{ zr{TM^|1p?w>;62TXZQp8h)z0X`A7x0YCr`o&wYUbz!DIX_p#-u(LkgG4wCW5J7Bm6 z!!j1jwp#ANDA#gBWT1#az>LLXa7z}m^Pv_5yc5Mn?+f7{hNaCHFXs%fHl4rT5SnnKK^8_Vp^>c^~pRD|I=srZ?S; z%iit>Cp(szd3$7he!l=w3JUGtnI7Gv<~zTC(WG;hg&a!_kY=FzmB8y!GP^n5DAa99 z*1ccF`wRLG9UL5vXNNsifXMoG=dV}g3vW56O+7#Wf#&_6^!svM)lD5(?{AWWmFi~; zmD@ix%)c3yavSNZQPk0|sMA51v<22b+#vsg=Xm-mpLATDc{Q&-NpwrILTRhvW{=9? zbz(=4L;G457I7IFBai|j)0ZyH|9MJMsrtB6TJ2^m4A<9}pCMFR=WO*-UVI&Y{hv5^ zWikrvs-~-#;4_j@?*j3pBt%3P=6|AUTH2fEC@6Is(VB*w48US*8YTi9-^x=pO_Ck0 z%q-vc-5JhaK&6RU3$^Y8RF0&?UFSF5!C1-F;5rF}>Xjc=8sv0v`|xZs9Y*%0uj!Fm zuQ(ord5j3m09O;;O`77uU*pNIoxm9;;+}j#wzVY=C38V_Z^)8#TE5OY`82>S?8=m@P|!2e)hgtq0_Gj9JMAzNRzkyD(y?6qJQ& zkn^pKN;znRaswK(OMfMz5K5jfPWh8P{}TVBx~9T_Y-`fxXTQkQ#WdxD-NwSOAO`AI z3kyNWmW9cfLRmEjmz7ByOp+{Mto*AkC2uvWXs(~h;^Fn|E zGC^)R6s&i=7Nr#6n>}r5Y%?g+24dPo`aW3Vzxb$ zRHib^w-=l059ETng#SFl`z7Ed;E|Mczq9lxBTEB)$WADs6B83Aq5-fgVCiOSZQlW2 z40sGhU4b`3R@jZM-DGcC=Fz9EmA!a1Q@!1uMhBWkT6aVgek=WKEw^3mZEgtrWOEh% zTxW;-q5qgAzyouH_!3a}8fCy+|6= zFuwY@b9Mog32LrFb}8dK`i$`Q20yXmY$1yq z5F z#*5A_vlj8H!d7#CAA@w2lhHCFk~NU6P;f~v@reBZ}b%G7abiZl$$5O02%N7lfjdu&(aMO6Q*e99qu<{J*~CTRH0K3JdpGI#{}wQ`=~ zL>kMERxtS8cofK~GosN)bfI%pi+N+DfskX1r#DV$PD+Gd^pa^!G{VGW#;?T}iK?e8 zNrT!WBJaO*PmySG`f3@mBNl3evw!>3HT8_G zi?T}@VW8#!gZ8i81wgWou&Wt=*WmOYPjSZV!4|0NfHNy7$QCAip&@cr6qQ`cngd{XoV@==i>{(|YJ2zdr;Y^QU1E>CHw@2(^qjt`8^&r}L%;WYn#oiyKXh_6oIVcCz7$$+ zB)1`zV~^|6ti@#1o39Bw#_$x187hhC7W+(X%*xAQO>us`W~T_e4P3O@WUpHPzkU#) zp9ud5v@*Y^2OSSHXVSjdEwesd`ft7%23_qWUYYatzxt@>vAj1|2(jRpK65oE%zae} z*>g!?yc#yPb-$&sCnfd2nBipN%}MiF{PRa*BaifM#%~Ki&kzj$&N9n+q%bm? zl$#=Jtb^@kQzLS*8q2k9@kh#xS!s7YNL3+fx7U5QUvGh-li`-^f1M;}0t$G5=R8_; zlX!K-M-sTKRsU)FfsepH>TB0aHvTK3y%@%=A$jrW7vQC0Z@)|ePc<-kmw^f!5Lx&H z1o~jS%I}zdj=z8*ScJ;>izO~7_<#_-OPj)vwR14x#iUa-rnQTnx22>6w6V+ zQ@J3`dXCh0l&WxRFP|K5>Z?sLctxGpZNI2k{*Ljcc?~;0_q0-k;h`>j6hCK{`>cDc^w^DGc#&HC;j6&hSrGL zBm2s6@Cq8IC5H;u)TE735jxZ#ysiT%j_lM5{5INwwmFpFQ>?x3acdT8HIMM&1D)qS zvmV#!?o72M(QUu3?6vcJah-AdnNNn8+_hUM3S;NlXjr%kJV+-f@EFO#iz=Y;q>K(>VFV@9BrnQxoPoB`m zt)Pi-1-n>n*>rxaU+|i;=2BXnk}xXz{(}g9F{piRu{_|<@tfwWPM*Wt0m+?M*3z@$ zhMBv_6ihU^M6#m#8)NXgQ0z&1eI+Kx65sXpY*4MZnLtE=DPd3z6#*1spcn<5nY)1Q z*k&VvH5*1GD^#>ezAzn%6sXx8PGR$T>2amKAg9-MOcp(9ZZs7^-q`dRElewP6#ny+s9t zEI~&=-R@yjp>A{hGj5SF?i{MQMcK-XH9x^K9mkiCUU!`Mcv2Nt~Y+3$?|W8-DB7N+svXDyPe6ND!$2o~RuBVoSd9eK8)F4qlq3MHjQ4OQXtz{8e=}93`x~7{0))a6_s26}PYPIdctMJ< zm)qjCzXgXXwgH&PPs+rPN6yV1;OZU9Mp<25QZW4+J8X0#hSlc!SWSmEVemT`7`b*L zy(eJ(+<)85TFjp3#Mp#VYa&i${227M1<=y&SeWYB*f4#L=8}B|QuN=o&!7@G+w{da zUDtLlTfW`vRWB7<#Dp?@;nWNM@^6cQH*r0i9d~87>1vE5NRJtv5#!#Z<88FY)_aoN ze4e5R>Xcx4C3@m;tHk)1Eejq)6qi2>VdPPv#?BDY4WZzc(Q36Gncn3;Hz|6W9q0gY z_tFy{W|vpTBR1djt1G&s+Y92P-_q)S{)5Kp-6k|xR0F#l%Zm7vBDWbpJ1zA10IS>GM=Z67osCt(4$1kgR7cs>=*(R{1mf5m_xggGJZ4gW}}}Y2v$t zTM&wT`D;&l+VDTK5dhlZdO@d{w%$+6nIPed?&gU+d0MsxiP|H7F%3<4D8Xo{CqH}5 zk}Mk$#r*T}%;Ya{DFm&lCh3&-Q-f(w&ed>gdWVlj? z#^r4W^52IFlME_J;Z1ypnFILKv%sl|k*gtIRD9If@(Siqx@VKTGUNEbk)2x@Y~XeY zOtkN$kU_Lfys6p&l`~Kr)T%9BA^3i72h4ePhGqiii=S+ARJ=SB9ZlKOfKMoy4qC8E z;pqJ>H#N1;fvq+hii0DPAQu=i2m0B-z|GnPE5JR}f~kPL z!^7YrjSIhNY0nm~_Kpp^rlXVa-hwMOe_~xUGjv!)b)v!Fa4hY5OnMcwKSVQt7ndc9 zP35x$`Jh9FLSH<;*O(Fb#S#=XafU20 zOQaH_-#@-bVv2NMDpA#8w2SpyNd!M;i+9Vtg;%X^Mcv%lddt7z@v}53^(Vy8Q({Kk zkQeS-#Lru9m8B_^ybc?Xv0pS~Bebl?Gua_H>(&JYDLGxe6gu9BQK+^SgTe1}o6CER3bj&Aa4Rk;>2SU}0c+Qx)K4{^td7LN%MFp`m&N180?$fg!-S;+4#J z&%nTMWXEV!gi;nt*^dgpe=qoC$s~xH?oDM@FB0?G(CP5y|1mhGTKVmdVkT(golVS} zt6u+`u}DYek8Knu6kaGXza_n)*J+Fe-t^=BieZXo9dPjpkOVttH2M7FYfH?CA-c@c zUAUZQMTbGPLW7{llR)(NKDRIrL*-+>1rbb9&>D=pAS6Q9j&Hu@Ig)Oh`N ziGkkepxmf(V!FTcB`AveL=F8*t+b!?4?0tofx!%j#=)<;DXm+2xx#tFqRTqvh08bt zz-901t)8KS4R>d{QO4^aDh=M2c?x?v4JzZu=8J2hK=ntd0N(F8PP}czBMnwX-;_-es54dp9 z4`$k?;t8s!CYaoI6s_FXv1Y@ebjbQq%DWS(%fkgJJ`&PX@HYl+=^kIymYUyTHOA)} zp2#2T>zCnkb71_tK!>~=QLsCj|Ka8QAGb4k=`D^oj;pzPa`1D)T9ff2{_rKTlFG^; z*nrany5H#Wv_z^qd|T2&@1`Esav!`9?>(!vJlL%VlKMAiE5J1>+H{eWk!Ne9<0c#* zlwXEEL&4{?A_4$LJ0>G{t1r|C5}lI?pD`MHI;{mHpR!$Z0eR)cpe`mlHSdUUX7duf z`0SOT-p{M;{#vfX{Of4zcXJv_?|93h88re6SuccCP@oJ&3hB+Ch$uwP@@5ajpv$5* zQuDXEwx<^R7~pmp3PHh?`+N8QH2m`Hu@a>`(F{ig>hsmW6h2$A<#RlL{@imOy~Rww z{j;)@Zqi#o^$i+-n05r_Tu!;h7c$IB6^?MUoWaeze!gu5&D9+3J`mytr?e14u|qHr z{OqP@c({A(jM?+v1^f^IB2MQ7#lHDK8fq+HUT=LvQRKLqJfQ>WMc0`a2lvIZ(1X9+ z`Q1JGWngp5<_~JiEAoB`K~)|`(~pY_c#Jv&Ae(qU`W=qw`r9lvejV!&{-MxMYOX(- z79wGZolZihf&y4zKz4%mG&()GRnV9O+VB!dNQn%m25Mi@%2e9b(!TaReTH_(LUXZk zmX$Zjx8>!p%*Zb#A<7_S!ifL!se8>=wR?}(6~{mdWe6D?*a|@X`~p3|mM4jYd1&Lp zMej;k^ecD$LNYE49YlNF=64j<-U{nMsN?Tl9{OB(%GhWJ|CBE`f zb>waX>~8uY0$?2X8D;s(BN{36&<%%DrOh0o>wa6}^w zv8&0ixl3e68$udjdTd>Xzdjbc7tO$`=|vFI)a4u%KTjkzl6I~y#t!O=jn!;t*Ty^B z3-ju3YH|72*ZxyMA#OxP5jMQ%my`W_7!XQ=y1vbWs|EbIx~IeObReyqwr?pSsVze- z_Tsy%kxX1$PCEu!V4A8#B?H5FMm3;POAb_R%w$ds?dB+Y3yQAC-M2nEdnUUe1oO zfex$j#g+~e=5o{1qcy}mk`iE%IkF+(Pq_a1v*+B!=HeXly5y-{UXypX+k)vA7!;_*IdI*G?}&*_*Z!o<9N_;6>3H-d^&X^v**mjfs$Jnzj0~O0oQmk0Bb0I)ourU;JvEXC~qW6yG}E9vj3p5 z)XvyJp0Ul9a@p4XMhonW-eNa~y}&npj5a=$Cj-mW(GhAm2OdsbQG>T-cVn-nL4v(U zbEMNu&0VnY12Ykb)j)8{sV+eG$_)eocol;^+{ETMq!=xj9MhK77!CNAv zW$jY$!XqzIx%V(&pe_y`-kJ>;nM)Mx{oeFAG14+PZsAc;(Q9ck2^^V4&fTG9O+w5B zAhK@PgXVbhhV*Z*yl!(0RX&XnBORZoixg^C2iMbUu8RPtnnBMG_X&P5+Yk)HZ8Het zM`#7HHv?W?J^lM`$+XqcuN*3K1AQYUYzT0)3Uz<$RO&O?L$;SOr+0 z8aIom!(6{tvJ>zc{u=rPeke&8Yk}eO03OX7%W+w&#}l{-fny6v6$(B97stlsWPThp zvLuoL+n7+XNzsh9HQ%_GFR6Y;L>r_>d);bm!|T`8{YGcS=zgm`%^W8Uz_N5)ob=sk zjG4=wrNHAw$*hJ_2gvo1pER`81^Mae`9I6K?K8~*V;ZdZu3SS6Ol_8mrfb`~fL%Uu zak-dO{dea6l*0{^KP%ze#wpMN1`LugN=rQ1tw^ueF3S78vVjJIuWV7mZDKDk(fQu^ z@9`^%)lT%z0MAQcmp)xAUqZ4t#u4$H*hS=$-kHa6rWR(uwtC>M&B%0I;?sa08^t%( zh*JKleG>8IWNtG>4H8m#CXJ%nqox@C0E~*Ko2@cOXVy1@x(LoTW%?{DHe8^$3cQ&l zaGnL9+Mxp)>3CZq^k6~CcS+87X?U+d6|NVCzF*>-dPqnJ&b9lCb3lhUxX5uWkh&jK zP0!R=>#p7fkhoIq9L>*>Isd@acW|MvkGWXr?dG1_UZE5fe9}({F5VlH$Vqc@eo`p6 z&_n=fvQZWNPUmXl{xEXkUh09N&=?O-t`EK;cs!1vP#Qn1yUx*^UFhwPi}j+bGKb4= zzg?S8utUq@DWp?Z1)m~=)88WNWW|cMq(s9Zj%YJ9`up#wdK`1jbq0ddzB_1q%fqwH z=YkmnUlvjPjw2ytaZv|kN-!iN`sOUKdkx~i#xXWI@ln&`p%kVla(3H^6?$dF-JrGP zYXD*tXUtm0hf@Tf);WFPtZ8&VT3a(h(&SBN6wiTi>Cb^vhFN@YGUjTc+WZ#j*e28N zebN@fzEhx0VsRVjPi(B)Vt<-E=_{H|#lI$-doxKU_6vjzy689W3)}kq@-RCI3OVq> zC=Uf~nX76`e0PC;Fu~td^gDcs;>bK)cQ*?yjc8Z6Y!DdGOZB?ZnzY;L@Hb?N3COmF zELf-r3d&JxbfW)-(cW<_=X{G2a!-ORUA>QlY9 zvS`M!Nt;~4j-zBvXcOVI^%f;K3$>ZN5!f+$*qH)=14*-XN(84 zq*rR*0CqjQaSsBtVB71Fxy`c9^`XuffF48IP_=NFvbF(SSj!WWO_8PJBI3B$bJbOZzm$+ zE0^1mxR;KF1%reMEtXBa@cPvyZYK!ueNHO3LdarvfQ~V>uvkd-CFd<{l7};fUZ}M1 z-@^n?d<1nNjR#5F&t!Z~Pd&~Y5a96&ii)iOPAp?$0wMjgIv~24bQqLo^GDR$eCI0B zU;9K5@*_Xum-^yT)vhiA&oeGn<6YsvR8H%5a`|Q!6`|(x#NW?S6cwx8i8t{3EsKmy z`Fj>m^=b>NVzzFfA-$b(XHVL8_l=6eKk&n&XJnL8B#D?+GADX2kYh@`b#YN9Ai&+3 zwtinKzP&k!q-1-tt7O|9&0ZKK>3l2vhM;$|t{86+D`6F6W`TKu2Bi%3`a%uB=(i>{ zC#k8C*VcJ@Lfr`O<^1QRHpP!z_hOY+_Qn31<7Ch_Uv@a_<5$X#ut zt3w^A+uGxU*zv$LdEa#pl+-HjJnU2iNbEU2z254?138!iq{j?r){C(IsWejJ-R zL*Hr$Z`4ZaTlS#&HL}08zMc-vO?h>>hH(j&=6j`D0&1-De-n zR!tBA3)V$}*Y@RrKbqL;l2fVTm~Nj5gN zjK;nfQzbM=Pb3*S_Y5(slT1uZl9R9eIpwk+tMorJRO<>(8sD1VKdkHfbNX%?Cg6~L zUb3;Xm5dcwj@CyuzB9tYk507FzKkqoYh1UtGbPTN+T49=JO3IU{_D&-$)V0Od%)g0 zw|IG_NQ*8`WXvcUjG@4O($gvJ@YGLtR$aEL|F%2NQ11_G$PLVT&`uS5@46&_A%WIE z=xBqHGAD8L)Cx}GnRfxkjmdXC4;chbhKl9&MWZI~{DgIaU=;9d7F6%LTx95Er>CZR z1G$08r0a5G1ZEwjRLoO7vCLwz$FJ1XVgpm|>A#*DDFgS-%hioz;L`(kuW?SC%88)9 zWgtm#7{$n)|8q*RZhF^oZoq2P(L#dkvg^y;LXHvBjj)$|l8Q<&{{jQURaK_Acb=T# zG0&U_(9&HVy&7(~#P!x)R`Vi{S}!vAA$YaGgRbqzUg?-}mQq{gqNqqA6&Fxyf+x7e zPm@K*jnC5lQ0ZwOOn<%yr)l2z^`GNL-KL>h zh^ePH8H%R`pFg7_l%#zN78h{kMZB)4v|^>HtR|Esx_vkzo^*?|_4rOwu{NJ0CFM3Jc-fCQ(@Q12Ddw zgFzW~pp8;jS9e(my1Jl)isbzV4-nvv=H}*f3=9aw9Nnj#Q_eF?&^$}Xdi9%DXq;3e z@tlf4l4=>J%uK}#DgRG+v{+sI6O09Hn%9~fm83MIM1RdaZbrM>8~*;qCM*Ym29gvD zT{>0i*IS#SF8OmFN0pqVb}gj^(+BKZiRVTQJjV=Gg~19}Q)t6QnJ2+~VINdnLj*9C zls=mD{;=y8h6d%I4>SAUlN_PbdY zYUeSCF7^SDAk&N(;8Ofv??RNJRrU1;Ls80ZVI_Bsn=_Rt{tH*72M?5hI#fvx(CZvB zz?c1sb63}DrXewwz0svDD=m!*(3V85Odh{Z;B|a*Jmn1R6qdR_KB7aLnu^oQ+i=YF z4d$Nx4MDPHEd5S8fAzD>Tvh*`w106m=-a+Q2#$gsAMKO#?=i#i&4ONap?7&TezRlH zIq<=@w545EJ&fuyOzW}C8eKf^c`;0JU(0=9rh4-iUv6=3>eb9uP&%~3yx5w#Vj3?W z8JM01hYu{TlJ+T|`_D93Y5Xb!Bu}zbD zzt2tM!&d?jwkxZv;uwaJs6HImTh3*hV?rs_u{Go4uQR1q?wUSOR+g7j5*|z7QKf$1 z4VkVe91Wi5=NqM9B%kkI#yzt*IU8xb`sCT6(y(`mu5kwgqiVgV*6`2Adykm!Vae4m zi@)j@VCK3%7eY24m-NcIqVt2^V=_p5wJb?=-K7E;3~L3ihna=N@urXc^~3H;{Xzk$ znAkixH~?r0D7GXMb)Jb{3Xbi~%H%MT3aG8=?qG;vX!GDRLP1Oyszr|cICT=4iSOsx zizpxrlh+B^vXgsiPvf74Nx#RguIfNmJ0vk2Nc6GNGSziKWJ6f^wT8OvojXQs38K)f zmuD{jFkfbn4%iLS7>XYc3<{YTJR%DU0#_mYrh0L)c2Mu8F|>o)jgdJgj3C2+VQBEL z73mZ1H4$~c2Yf3<6<9RnmGB}N$+3FoI|}VjBo`JzrH^g$ ze0bC26`5CU;ZLfj%l7-8MS5|u4C4MpQRpFkxM7^uS zfdiyExOTXPu};Z4(29cz#w)NO?9IyyB;mp?9$|p%zIXOwD}O$}=!Yez0cX7E)Nb=j z|9-L09YS4^D)R#z5&UkZr@x#{bxK8OUir%^J(IhkFvzjtK{&V*pr=|?MoHzdvS5``lbpi+qBgv){7!` zhDC&4>Yo3I$&l#urZ%sLyM-hI@1~m1oz~_T%Ac~|zi{sO_OhTSzliwAxh6|SRB7mS z`AgpxN?0#zm5*>ghh3Ibvmsm1_>_u|C3JY}Todcqu)KMQkAcJQ6sX;(L-QJghZU~6 z`uzB_s=+}Gb&0EuF{#G=H@Sv@`Cu{|C~&4-=fVnU5o0*V4d|Ldpnm~(l{(Ki@bxwG z%shORd^u?voS*Q@v@R*k{-oOK0rVu0I!I2E@;Zp|T7I+~+h;~vsCT8WJ{SkFht?p{(%jw7~?o13d)m z{s*3<<2hgY>T_!_&W}waKTng7%BeW4W~!26LwT5Ff$%n_8HV+AAm=?KoLqw)Q_2_6 zP=@PwFGvL9a&Y>QAaxGPOzqplP^5;jZJEdKlj|MmxdisUkTOAayRU|Y)KBNT%dS4T z+XgEl$57+Ao}u#T9(^z5bH}`w!^Zd0oFvMnfZ4mPtqsIglR4U9_;#L++0qM1_Bef- znZ(HN0ew>v41x~zchxxGuDV;Aci8Y!-Ev7vR2`m9)2K4~Nt(47C^_!uc(MC(8Jgfy z!167@%%FaW*v=EI@;@V`j+^R87{LFi^ zoaz0)Uyrm%4f37t1+;~ognioT%$uqw6T7@nfgH~RTA|N5n7aPl4a6}+=8KXG#bHFY5HYp2CP49MLE7aOj0^=9rJP0*9kiIjl0y0UJ^6yJm9yaYX@^>v+V-d&gH zP?h*mz;F4?2L`W{gy`08jHJ{m%Kxh-G=Q?U2k+@tNVPzW>Ho0PB3|wZ|MY}GNG{@aWMxTvn%QW9vL-aq zc>Az4V!kNL6sGw}$41ym+_M`kr`hvK=bRX_h48WG^`@bZT?k$Un%Rjme&EMFD;e zG|)hR9Fw%IT^WyVzclMq+pn&{b2PO*a8|$FKQc-eZWPh)NCr(bS!OVh5OcK1!af&g zA?uh3PUCYmt=`ZOfv{lQSAjgZP4K6>x9Jz*^Q24x_drqXhSv{?^;7kzxQL$6F*6%q zoa{na|C~HTk@R_hc|`+qQ(1y7ci~Z4mrgnz&38q#mk4<#f%w7N1t5S*-KOzd*a{X9BbBm`C0ef+qKG@D8w^z zjW$X_qf(2Pv(2h7H#M_?d}=XGcS-8LFwr2?!19aIt!9E*NZW6{4k4!=o|4u*G=HU0 z`7?va2*xr1Xb`Gk>V*;7wkU%(wOFEWu(3P^*R5-VM$m#s0U$J{np1)RT-1Ew^I=&E zfv7*jMz_L zOq^P2d`EN{O~UHnfK#b1TUi&hdU>UVCYS#ygyMu(G!c74MdziVJL`0T6z2Pzl3Kq1 z?FASzM|r5yrJw4^xi7);*SWX$RC@4@`Fe%Id8=LCPm{gQ55)>f%x3rG`zLNiq6HM! zJSjOhuhMch_%+u;=+m5$rRvNz#nKq4tmbE{yA>d3N5(-2iv`dvfECCuyMI|RaRNlR zOTUB3F6O7*a`OupgOjiB=l?y!dlh)&`{Ik+tWOG`SA~ujrFMM6Cawi%sAOH@gNlht z?=Ti}4PU2|OUzd#!0@F9LW|$ngL1)tV^jWgUq2`>oxCDu5~({)w=}@!67HNjdjvI|aL-BTFg=JB!r^x>f7V ziOUW&mag~+rw6Ut3M8Y;n<%~_wdA)_+U|wDTKF2@OsHeOWQw;&e3?uN#U{W_K`aGe1*(SSR8o?)E?1;2bw3@UjC2x;-k*q zkteb~3gv<^Wsyz(bO&!JaprC2EjTyd61VaibR^1D&)TTr6c3Dsv)xF~<8Xh|%VO7kwNG}&!>hB8 z=!YIDT8OSQUq2&nP1}d!hXna8pN)wc{8#)ISD}unogKes%U-n{CM?xQB$HC>V`QOH zva*VXj?8ED{#QD@>A%7iN~v*bUY_C?e(&n4nBNqaIH~jsD_worCSADqLwse0ZXwoN zc76%L2Ke2dN6|a5gx`@ompA=4x#qIJ7jt@8tnjvr8p%?%I*c|iKT{$V3Oxwupf7UJ zHjvhu;H^>eZSA)RxYW0s?2N;vite$s#jGcYG2%bM59rE1U2ggr?RoQsu<{M;0H#g5 zQNz~H%yBv@ci%edq+)KxGB)wn+Fq_!hK)xAGf(Q&FqqLQGtvfpoA7I}u<_De7`S6r z)qpYb#}5=}0k)fdN8~$e}(imGM}q$ z2R@SV1F4rvLuK813PfG=)cXys$M2j2(J%?RW|MyQe!3R=L&nE?c_maseu;1Ei?uSN zmw6_L9DQp%+?ki?E*Z6TB~B7=Xa$i1xBHx;REK((>)eC2;`%W1XuK(N;ZoIaql^xp zYGmBzxClEjd|SY+VrGteUBVZyvo-n3Lz11H{PGC{fqSB;^+gTl5>J`a7#mz~Imblw z5p6)RlgKydSPtverz8(CP*zgEd4tiIeSE&np>ZEOYg`>R>4n#a<6*B0(YoUqmX`%` z?WP>S6S2Cw+6-N5c{xpxirmnw46e9^=8{$umk)(4Y8e4~#Afjy#|)sn`cj4!1{Gb1 z!PY07k#>|-uHRr~r|n1V@@5?y;n==jf#kTLAVuW_li&Nk(8DYBn^R=>-M-Tw7-ugs z`~QgX`JUq|6#C`^1;+p$MrrNF!&0kEwx;CroU3y$k@J~eajUb&OU%I%e5tEMzmFR& zt1%up=i3*!NT}(6F1_+iW32q|-EZTYhSj7w#t-Iqaa@bQS%XxBD-DHCyz8T4_qw1N z&P~I`&nCH3^@#*qXK0hkzL;BT73Eoa~4x1%kFXB&>S|GXM|$veJMlawQG`m-lvFPJZxyBZ;=YJ^;BgWL!tO&2}uFvbmUjQ1{;KNfHhTKfh3-D! z8CvI5Mfmr;8uqA)9k1Dp5OBw1abG}FjO>fJAs|V7={bx|N>-ry4N1jQATFy54LiFj zZ{5_G9pZZ^uf+6zWWt|M{rdrLErK4mj<^l3uiSm8cR*Zj3qxRXa?M*hjc&axgbMAqnyCRl*n)>Q7%5+mtA~1E>EvElQf^+GU3^_<%Yt@sAejm)yCmJ` z8lJPs5mM;sVa$0U7g0ubi{Xiy)7`;Bl)4%Fm?&M^V&~zKCdcMcZN^i<$7~HBt6Dy% zmXchmu%utxEqvoE&?hGlZM0+GTnM;boq$Bw{P0tU`s%O!egQqwLTc9-MRtLJV14dR3QY!aRP~3A8yuMohHj+Q=q0` zeWBp}_>oS83r2vUVMDXyPZ;h7IIJd|s))bp{vFBsQY7eL^Qk3Hrq~-LNn3FJ;S4=dC{VyTE&Am% z6o1xX^ll5e$Jl;+JMG(e0kzdU={U@h{uzqddP6v znV?viS)=>v;EUFwX#1QsHeUPE*t+>`R#YK}$e{G}f{zsgR2$4DR8OC|ynf0cSSgr2 zG~1{r|3%oqZ^37|+fUSXDY*8l;Y2lcbYHqb&TYAl#B@ElvovR zs%M){c^vo89xwFtW?rR6E&}Rj3jjREAO=01`T24fvN#t=}$9!>~ z`y}Xw=#=u;XEXrSC8p%_GVdjyTb$avSso-!8U|<9@V5E~8(Wq%_yKYS@48ri%DDfa z`&A!TdG4dKNc8C>VfwXas)LC$Z%)ui>5Mh9Pm-yZ_(Y$P2al@wO!}MQL`RqC$;3bS zxpnV@vz2KYLijesoAY1J4ZJ#<@j&CZCZJJjOUreCdo2Iq*HxYms*mj~UCM_cYmy_v z+1b!$MGRF;9{=>bQAdWw>6`Prs|T-tkYZH~{&5UEtMJ+2KSICye3f3BuXFA79=Ys| zam&w0%fj53LykXf>Tm!syvs0bcIdWjAi($X(Fmuq;8H5fzL<$r!Sy;+B2IF{9-M1A zs6UK)>`1W))??WFq0#@D^hyy-c~GuOsG=o3-9~U1-SB~uG8=R;RBr_HWe7oT>m@?; z_VWZLKM4M5?JZh`s++duO!HY2_C>19XO9c1!uKd^kD0MfJ6m3A`Yi;gg4Q!MF@fHO zjRxM3q<5DlNZM< zje;(QC-Gk%F|}H8TE0Q^&%IvJs>--$^8B-LNs9U9f(<#1Z=|*K^;px|=T`6lA+PvN56^CQPA|7_eZ#4}g9G1dIh# z!~F|aZh04^Z#T*e+IS(lTY3jF5#A>XgNnR>2{sWm^*}1@m&m_eOB0y^8_Yvz&d z#ii8lnZ$EsJYV(j{a6leFm?2;#vHEr_#c@thXbQ2hHP_AwUDd_Of?vlaoBQ|hu8+1 z$Te$%a$Oe&d0o%nKGD}^UOn8^VB(1>)iApu&-eaWj43~x2b)KvLYSQz*7=E$=(mfB zKEY$>BV!ltcl(Z}W3j-{!x0dyHu9U1m%mwdJ|{(7sn7i8QK@G-eB)d0FsG-O&W}y% zc#`#+HH>F9>M_6hDCj(fP zI^DKyXM4HKa*MN#SLKn|BqVZj^3v+^ByOq$oTir!;*xTYM3J93BUrb0}9B^))dO>tH#LXwvFIkVxj=Ggsw(C$Bdd ztJQcS!dv-s?wK~Y+p!ww&icaoF(HS0?+>4d^(%zYD&G!_ipDJ+$Mw#yni6pnrr+A^ z@-Fzo5?)jtO;$PZNr*tE<@g6fPDgP6)9oiW7Xtd3l=rNZdupOwR&?)Kl%3X*VG0HR zeVs1#lt6aAOV3H$EA6^v>c)qDZC*aUj*VwjgwUe)V&JImrvwIb{r&u*@kZTY$5yo} zR6+V5vhSYVNe_Y@y zChGDWcFn{>8cV~%9O+y2R0I}s83qG`%$bd2tG=u@b0YIc?)vpe2>j+X?{u7h)(#95 z@ic4*m2|ViO~%kpj|v?z&&n7{a?vz4Mup~DC8cou=XM)^FCdZh=CMt3s0OF`EmdX3 zrE;Ue$%$rKzIE=6;NAV6O~&r3jRb+a3#sX*#eZnZ+uUOhv~@05E%f_56OM}|6mKKT zt{tWSrl>NnEs9&pXHKUP^A>jC7Ydxw(=$LGEpcIIXoTU>ADoAE5*c1?&{JY3*yx6e3ydia^U zuY7x!%!*~76ptr*j@KyWk!R@7J7G6f`@hQ*(s+BeMt2qYUVq3L`k*$lQdIYTMsS}n zb!#i5qgv@fehVa#2)5b^%v8yoKv5fO@og*JQfOO7wBKMp4to+pT`YKbp9Ii?`0h2u z#&`b_JWGGw_7Y|X!5HC`4*DGh+5F!B8;(SZ0#G|K71q@DN0zG(cUN!P#=KB|PQE>} zsjd2joh4)=mi@*GI`WFOHO<>uq`9M`@T;w=I%sn>vGYJc3?}%$;Ajn&RgjODERi*% z7c-A9S$8`DbP6r$zETEJq^1qPcx_AR`e#Yky2zp6-uywa zBqAtOz>xn)oI730n`;$ZA2|N~JZyZvT+TdyUiI=@--~A<& zJ5Py&ssechl3D1vBLTZDjSlylgq%$M)pG`}tB)_7(Z;av;(f=Ey-#iH!>2w*>O{&+ zt8|9qt~=SDG%9!1QW=eU{^n;o`4fHer6c^LaNXf$zeU5BPe0nu66$ql64<%)m#bpz zKg~7w`f(^mSlik@AO)8_KdrjVt|gndvi*K%yR`eirNak(^B zC5SB_s`3HAg3_~nytZrbA#C6plr%P`F)nrO#41zFl#uq;rG=EVetjeUdm`6GO)Xx7 zp2r59Pej<<)l^@-%%Y>d5GeIQ&8+mAD=L%AE@Q2%+$p#`5B)wsX*Gq{vA^pxLpZQ? z);KdiS{;3Msxq)(t8dTSX~z>De6_0F{jE7~PHaaap+^#C+o8aLH0kQ9IB9nWKLict z3*g9+OIlCozEAkI>mLZGtFqKbd`bH~ILJ;DQt8iP{glw_;&RNdwp8HwQbGG15GWB5 zAM$SscXh`dBWPMXS^mLd&oR&iT`8ZjG^sxj_RoGz8RBLN_o(j(8?T|FH14oBQ;vfw zieLJBm|H9!negK1(hr?fHv2K7+L4w`k z?s)jkL!KOiji9}QP$tC{o(KzppU)_ej>j$3rj#7pubntLI{M>_GK;qdKir;^Vi6I3 z325ma@!4-^Jeyw^IPnQo;)R=2;RmEW6s>ISBraf6RnfT9bh2iS!QA*fG-nDDBjxHw z%Ssr?4>g_mP#=;9>GwN|XR!R*xZJ5CQ>Uf2m%eRFGeQEYv)$z>)t^=m=|(Ax*O#sf zeSTP^sCAkwiy@c_r;n$bXAb|+Ty8uL57~}ce%!!ZP1)5anakoboOMYU}1IM$2eTA{q5;8_YqA85Dx&Rw-b%zMi_!>O`ACZZDhemZ>R zSRqf~XW&D-REs+=_3Z2tiWJh$58s=Yyln;;AsEI##gwMOLxgKPnLls7klU%z%&xN# z0sK2Lzhf3y>p-7qNjl@qzMcGpgQP(I9emnyEYxg)ZQGX3G=H4sn@rJY3#BpB@t@M@ zHdxhT#hG^(!Zag~U1O~~B}mWN)y~768ymKVU!%J!DZ#KcOn^e$J}83S{f=w3jEWcE z!i8l7#jK{BkeC^2-sel21fC{7P*&W+kX}Gpuon1z^P}|TzNdE1d#P|g5h1?wg-s68 zn;d3Sibqv?9|w92mrmchB#2wJEEf6;8+@$s!L7nIQ4$eH7b%Se!tk7`MSPBUCR?lD zj#|N(S!=Ied{BX@^nq0mhN+~tHa5kR$bPKbvIPO-vUb07nTQP~N0Kr)y>iUN-mbNF z6k55OjCu)eKeJ1vN2~1)E?PGX>k{1Lg0A6kf}S>Fz~$YoPoskh_kCeNv!!`r?UA~< zIG->ke|#P;Kyz=+aCKY>Dgobeh$WeGvVO->^D&^FU~=M9&>N5YP7#)paa5nvZE=R1 zeS6xxkw&~i`P05a#Mr^bPfdhI?Ymjb#oLy0q6d#Sv$beyx$`-EeTZOyp;=#C@hh1- z#aFTlSjtC2bhFa9$y#TY>+{Cz?go!@V6>rx@h}bRYGD!CKyfVO&t;pppUIUkcZFYV zHdhBUevy zY8z7JBAZ*Aep8Cs=9k}WeVD0!m7ry2yG#<&q1Nch zPoU$@3Yc$PKnC~x`eGkY)S(IPK9Ml%k}44~rX9U&!sM9q zjhQXCAenixN%FX!3t38-3>n?ugh}jHYn<#sp2z+9Me9Nz6u{lf9;CnJnB;iiusks>5naF$T-rb97wY6@e$0&$3d|{8ozfmCMiwyxq)mmOY62R1D1913TZpKG+ z?HT&%ZekN3a{?%iG!y?+1Q|Do?2A*FJSD7ZtjE=9{g9V;vghGaKbSxYfjuZj?$&3<4CT%7MA-x}37O3!pa8}oa zhI+(3?gxqZ9UP=#ljc9rFM|VuOIOVaqH=G(Kr8@hINqi~92!97;{p8Za74!hFx8Sz z?V)!i3I{)3KG|CLGxh7|;{cmY3CkwLpU?2ekQFl3i(8^tL1lzNe!GIP%^l**rR-2h#4BcH<*a`KK@H); z)#~YTCLVMGQ8Ou10*7L!vV+zLAQG~D(3g^Tn>Qp~ql5^voPm~fl}-4ef!9t(h{Ao8 z5!=Nw>bK8$BOKIsP|mwWfIK?T9|xu^@Be*1goJ;9_RPq6DmD}*+7P$mUN$Y$O$0tV z^35*s{6b|0JlZ#;N4r2~6dw8@U0YJJ`>R12$cPYrXZBfDa~(f!3L!t#Do*}}%RG%2 zIMTsJb)iSoxN2?b1W+dLFlES5diTnbPy&d_dz?f z5z)*4{3C=Q$uV2qR7Iljx?rt!+DrpXwY0P}g`zJs|DN*ij{y~R6lg8_&$|H@)o6H> zxD9|l`?@Et?98>aRNN}7tQ?%4W=>}ri0ZKkE&6-LBvK3HuDrawf5(|bF3Znbs~Pt- z0zdOGkJzvhlkqy3{wZLPUgbvObKWw9IffTri)Fy;NA7u|2W(_!Hvf+?24(VhE2*u0 zSC=!_{K@Wn=tzKHe=($U8QEhR8U|@&=v0~As@=}2t9x#X6MD)tFb31fdkh?baZq~BU`0#%{lN>MjUv+v;mypr04l2Tchy!Ij{)6 zkdXllyGUq{t@aF%GdSLws=GQ{w}ghoT!rktOcoEn$;nBU=an+kpM7F3ru&P0h*q5^r7OcYP9aosyr=P@;Mk?R8239lDiMPVqg~ zp?2CXb12hp+x%NK>&)%~$vrl#PcHt_3hK@M_yoC~yQh4yGgOIyU2FfbMGwKY%t5^9 zD|kW4HTm{dIE{2zHIqnUcG5e47%Kl7AJ4F_kx{<1WDJPOZRsHb2w>j1w=rlG?q7ui z@a43$nBeDyzkanbx4zjl_f}S29p)NQQ;Lg20E6#e`3=}t{a#bC)e8R{1D0UmUIof=>hkUj z{PZ~yTeuCD<7McLGkyK(qDHWxsnA{r&HE*8$JR*XF0(giq~jjjYXtsuivSX`*6b5F z23~vKMA${AjnNxTSC+8)aS4E}6tLxomy`=j@uVh2z>^~?bJdrNe&oX|eP{y{Y{fseNV~L9{+agnvqrAsW ziL_{FNGfCTi;-b?kzzweYBvUo@SnFg9}uDZ+79tFS;k?sI&o?mF(_32-Y4L8QFm;T ze0=3DaDML^T2~R2$%x@jU}mpzl1zkdVv&wuGNp#hH#<8!DKN)ZcYSppNX{R}HSOY) zlJbz(d8=TLsa!q#P2$ecQAONQ%U!{@s{@%6%o7!@shr6XfO2%>n`u84+&)`dTh{NN z@r#Ozs;aByG&KW#e0+w)?Hn9ho149XyKZeLKUWz%3fxH4r zijg+CLkS59s^#X9LuDY1D`!mQN$Kg20Q}8iV>C4Mk*GY3<0SCdfBEv|ce%z|giXUK zDhmrs9H$jV3cRB>d_6pR!5c=6U?IR5dv{~3)VH|d41eZ{A-CaExZ2eQL zlMHtrJle&@MQ&c+Y2~pBYA46JzwlCD!^4GKT&h@~euJ4u6zBs>_q;qqMnMTpPPTE6 zN=}xMmHo>6RG%FXUfa25+=a}|pX@L7J_3~4*4EeBUYCyWRpDzR#l`EDf8Lq&B`w0b z14(&sk(`~KK|;meyip#D;stQLAeieQ^vZXaw5kL`#mT8DB36B5AmvKeY4k)!Mcr#7 z(8+O^EHq||bvfu4T^-6NaCLRXBx3tmyWJ2E$Avh9jA80;&_M~9nk8|FcM}u44M@bW zNuR;O6D4P6Wf5O9)6>uG_X%=cT>)qWI9_tA`0+U8MY~JR0bI%igV{0^kyg3v!K6GN!o%_A=H}WtV5OUIWZz0l zuf#1)Onl+Mf5M^#FLrLhM3Ko-Pb@b#_t(fsVINd1>srf+F!f{nA3!KRKR0)$AWhE^OO9))Cwj7rb*z`am z_MPKn6<9@?ej5fVHmPadC)q^}b9Sx9OlCfporivYejL`5gmCJ_9Hvbmu?63m9NQ;s z8l^#u1EcX&VOzDTxzO+2dBn#T9~g+a&}#+Dj%R|hBOf0hDH&N;SC`(&&Rm(*#8E}@ zR5-0nMXX1jBRb=Iu+Td&5w@_l=3iOqT%HvNPt*q$#bN&EOA^1Ex`)%;4-`(Tapb0y zmp(g(he};h>cao`yE$)>#&g*$ff`W)5y-Bp8g*fh-;&NKnvN&se;4u62X$^?Asr#h za&kDe&f80WyTYFz{1yUfxXQCQj$t*hG)^`*x+(eke|~L|I`JY?{m(D`?uaH_od5Y1 zpM@L+7vF|NTU37QHw1e?P$~jn4Sr50scm==%Nl6VeL*>pLvta8>{N0sX=M zk6)4d09v)s(b3yypy#-KVq)TikDeYK7{*?{Y_`!>fja~fqSuy<0Q>CtK^R`v^Yg!N zm1lS@Dyl*K4*s5=j_xV0GML2I!oqWli-++ek%@_yzMG=%?$4oDpt8OJ?$g(pn3MRC z-(3l6S0y%ZA@%ZX|_qZStz2imE7 zBqZmxq#Zdqbos-Uh&5Nd`L{v255TpdqoaGs$2VbW1?FD(-MboAeqP?VjEu)We*A!b zkdL1}g~rAG{GBSa`a9L9q~PSlrLMjn-dT6$cPeN%SUz|!IZ>K5W6z_kKf^9ms1sbo z=&r-R9$Fr8mL%nKV${>qYv&CM3jR0&nLR z6hzI>Kedt<9TOvFX~_s`A~PlBJwU7g(7K<$zdpRK@_IeIq>-?wD9Ipb)H@g40xF7% z_rZ5+cLJXrl7>Kf;Lf9_rl!0O0NeV2w%pp$p|UP-I;PWYXI-Iv!a4`a$fmpi;6L>B z^#e~C{RH7KLdReIXFH!U`b7f|%PS!vbS$iAxax9pa_#OQ9U^x!5a1f=>G0RotgQWn zd<>kN!(yI*pgjkL33ycb-+q=}JOTpW=xBoe{(h15Z}5SoUx@+m|De1Yt8`ddSzTOR ziL{VyNdMHQq@;|GYI7AG8+ZE$2e)^32f*1H2y~ao<09^m`JI0kBLlcKa2VluX=vJ4 zlwo5`%VgK4YPoh40%-0?R#w9yKT1UrtoY0p->ZB*wW7R)xHO@H!T%9a3srR-wQ0_k z#YJIL)13aF7~jq=&Z4ABN=m^0(g>n`Ktdw<>eUBU9VaKJa%J&Kw!WYV_{Tg zQClF&wG~m{p>}pMYGLQbvJXz>R{#9%?VCQG)vxNXAz&-n8AQyggkH z-`J43TaC~rmfq_B6vo9bkl4VNGvQ%jCopF0<+z98N&MyVcqKR{BI31^Q$?5xEK5E= z2jzcLNag=OYAWCBfXEo^!1JM*XO-ubzrs3`J2@&^ibG}=uk&xw?a_{#9 zw4n@uXCC|&r|c|K^QqH^>XvYPP*-(?p}|2+Z%QSj1&Rw+DBD8Xf; zee~#-o7=gd14+ZxnFT<8zqPf!F$)087eH5>2@dhW`bY?bY=gi&EoeA}2+QsYoIC_T zPVlC|TE7I2cPwI#s#WKs?U_Ee?RwGct4lae)ReTe4?xxNH6cL?Mt}L;jtpUZm79<6 z);H&E?P*{sd6V>99A4RVuZtG__U)54137v5SHKBP_}pd3YJ0*DkM>Jo;A4LNL?8pc zTr!9Z3;WUPhsOhk_;77V;eY|w=B0b_D~8nvOh4kTu5zMMF*7kOf~WRSKp;t!!b9<8 z+DRe%ZmrFX00>iOZ!a|?V<3UH%MA(&iiLgn7{WIPAauWwkdCRT#Otf$#sqHLAESa` zLDbZUV4ohr?DSzVVjuIog#QN1=WFOjMn$m!zyv;%dMo&91p@ZY&K-Sy+ZD`{jl+<+ z={Q&&?CR-x%+4MT+RxwL|665c$H)i)8k(=5<#o1H?2j_D!OqT3B;DUBFAKD4kFsWf zO&udd@QT}}ZWndN>$;xRqxl00Ci(Lics?!>kvQm6aFftx!P{B}Bj482;sZ8)_iVj* zFkSR6;Em@o2{JGQtPW;}hljVoe|SJ5K)E%(qW~t&AbW1JfyXebV%y!3<+wgf`rPGr z%+lY#hLbP8fTWyvv=>7eGW10)8BI7kEiLUMZQ)%Ff_W}_dOv2Znzy_2!aytD0m-$_ zuC8288BI-6aD+rGzLuKwg@uN`R97dS@i-;}U)5mkPi}&sm-hd*Ukb2aV4pg3T$GD6 zyTWAzPD>-FfcUS>l*5(q9R=g%^Nmj_uLx)6VGnErkbF)Gm@ za1)HyI5Gg}h8}dQBSGX53W5wlMY7iw&n;BUhm@2zKuBO5Wp$=e5a!pbYHE}xyL;EfwMG#lJu zM}GbOrY8ip($>!I2b?dkyF=&$j5j5`+RJ^(h)fy;7Vz_Gr%hsTf|u8e8{1o2T1Jat z`@*B6eIbTVO-&uWyjJ<8Q*HOjVx(veCK4ge2G%uj>@~P%{Ac*sJZ%E~dU~WFQE(d@ z8+CT2KOKhC)!RBV#KYe@IB;B5w2lWYQ*i;AQ#1=nNB7uREEp+71|a`X13;vfXTEE~ zyF+{f^FtkjgZo*_>h~MHL@w~}-+!w213912V=XtkV5zIS+o1IeP9X6!f2)ZKqCp+V zCFGwX0(%IZ%=*)WgF1u|QnIdmk$3d~r$iaVgqoI?9Ug&A$_-qOZh&i7U@HKFUJ!Gb zPFAskzClJq0}BoG;}5_wZkd^xIoSf2y$S+L7^=@u$bQ3NH6GE~DF!0^pDVRd=7Yy4+I+8)i62{2wPPR$0E-2){zd9-27pW>40a4CTONa-2C0VG4%%l->Sel+7tyS9356eg z12(9w>Sgkc%}s~}7J$%Yp~?FevD&W1JjS|d8sG$3-ibAF{V>B&nPJ=dqB&w8npkebG28mupi!?+`@CFE=6oUg zc*w>U1~|~f%TsXWKvIlGinQQ75EgH#H@+iP$d?e@WI*UJJUdw3n68fpml#3FSz5}D z2w%Zzfq#wAU=Z7ylgbVlvf1wa6&E=Di|4d8DGmxasMjYkA)z47NTVJ9?c2BD7Xtu)qfeW!)A)0MXu0um!5$bZ-DS3S7j!eo=~D@O4I9 z@L*XTgEE5BkOHM+VtSV36emS<1q-@4yS^R(6^m#V9ZqZR&zM9%K(xTW{_*Qqu!@dH zWQf37PWvzkO*kaM9g^I(c#^!XTt$LO#Ua~-aN;0a2sw~%79-4HRfQ|9rw9eyx#1My z_W3>%4F<*d6TnR1E8Cizo4K{&Q1sykSxZtd#w1M`GLC|#T_ll%sf zD{x}~N{$)=6)6?0wrw(k#*vOz&=d4ep6EkRju;HSkB@J+IzT<`b?u>CtZn6x4}p3| zZ*MR66=V}yEIGsD<0WNf??GavK)u`_&JmFKU?`A+nVDH+1V@tKGhm&50|>IC%?V!s zKZ6XUKKKxp({)5mC(Q_`bMOINmtsv~cpc4yv>pSpxJm0a!j3{@4Obr^ujfD)fVQlD zclZPe4V!efuyT4H@{sK6;eQO5e-$}0Yd}g$N(kQsUy;D){3~V~ zaukm_I3hqL+`NZ}Cj_9maH@;|^=Z?1iSO)uY~FnhIscQrZWeJdv2Qh$->Pg=e!+W! zk~2~&(e4{KxG+A)B_)+oRV4%s+i|1AFtzQwf~7Qfzu~i{BX==8b)t01K(!aH&Vw2?W@!xBIyk^!y_U~62z_LY4Gn)+ z+b^374uhtN;dkSN>|Yz86~I{#b17Plm!%qX1OtIIXwnjla!xPy7Ce1fTQttYmz6QD^Arj1)qR`WWDi9niU^ZJgDnQhcQr$!1}J!4!4x0 z@gfXR%NHV>U%q_lus$3F*j!)8`QN?$+lhmq1^|r-w|f~dX%OlGe5eT6ul^jlNBGQ| zowLn8Jnkn?!2%aKF+wh_6MR>l1?i@*ltL~5^Z*Y3!^e+5f=)r$d!f7h4ik&BuuBJP zLjfBbmImYRZ`>*f_L4T>1;q==b7@#mp*6OqmKKlO5hGxgmW~A`CnxV#gWJ>4&`_gK z=ea$4QqM|b{daCo?-}RFAp*k|WQvgcEh1b%R7+lqh=e61^i)y zNGxguAd%wk;Zf+>^X}a{bt_*wPb+HAxsJ+k6jW3;yT4-T8aBxS9^n4!!Bs~jf5H1k z*lVC`lL9DgxJ;b4(6BS)(nXLEKLw7fWHK?We-4iEKL_VjywK9y@n}PBxInE1G4>Az z)CJBTlD1huw(yviRwZ8W$rJzg?~%Yqcm*NV`SCU_HT5UhZloR1Az@Kbs7)Wy@v1=o zxE*g9!FCuL8x#BBU6D!-o+JaC#xJmifdXqID!+q>pHXpe{K@lk2kt?5;nF-(Mw$Ps zwljZftBRv|QOB)QgraP+j21(OftHX0A`C&OMaq)t)EcxZOM{f9giR>R1Z>%qP=+$J zKxAnng*LiPqyIqjYX;uUyYGI#pL0%fuO*j& z6O14%PuqxL>o2jjAgph)u^d8(KU7N!4u@l#w9G--xfyWB6?IhzaE8%>9T}*e5=Iyx z4D~!lL1{4^JS1IMdl4ogI0tuYiWv+BIZG%iDhkT<+L&tZmEASRdVYb{;_mi>VtU#Q z%?B8Yl*G7KY|}BjwJDLLqq99gh$0@2!_qQ#h&(^IQe$}>km|(aw)X}T`mwOEKtRX> zn(zgx#l>he+b#@x6oEfdw{M0vtVgop)>Bs35&`MPY5W}d$gtd?(yNe$A7OslcnR3R zm|Px##eBK2u*4~jM*KK^eLHNxAZ*MHF}aRJg(e4}V+=={vA&6z>%_18B+*oEs zg*jZ=?_ttYt4Ka!I^Xv`+{&>}!*I~YI1oF0#5L%4WLP=62c+?{`-PtQe}dqIm?L7{ zqSgK?VjrIFXj?$s033eIJ~y|m*OmgM+>3m@lPL`Js;w>8da8GuF}3aSIN9 z8@?C0IuyTA(P>}DYD-r6?;=T?oz)ELwyu#;SbUlleuj&{Lai{@RAR8>5UwuB@~}n* zz<4xPc@KVDf6LyP3;u%$nkn!!yN6;4C5U?VzMr4A!J*Fr$#8v(umo|Xci_7+nM@=R zwdL~@aX54+G?bCD9(gR^tQQbnf@}=yB%yKM-@eTIgnBY;DW#=jZcFg^6+N0QPfc9S zJb?!HuRe7%mdZD0;X~wg!<0k`FAObD%7UO9xE&V?9Sg}>N_T^NIOoK(`HGE)3uoEN zGqE=*Rt$KJ4?up1+rG8_B%&upt%_-mpZyudF;9`AS`5P6wnJC*)_r3j>6cDBD%fk1 zoK9AF$X=-N1bXDCt>}S4CBhQYL&KE@={Y$syQ-$22Pt|2G6?IVrXqp(F?z;*{*HzR z3UhaJ+XL$&7}5qZWktQxzk*mh6Mw0oluc5cC|B%~WQQ7g1a*gAo;CL9QIK5;X;Kp{ ziPaEm)cO73ciEGsqVaF%DvoY$Z#RI^93n^E&YnGprcI8WLt|9T&UQh~<+ijqLj-nA zO;u>HLl*kPzH!|0A;xuWZQS=b&CbRk5TY4NOx~XBl)QQnE~xeA&9AfBY?|M9Ioa8W zUKh2<0Y8fLCku1w;6I1w&aT{)N@kL-tx$rhS38SG{hS$m!%B)!D1&+)XxJN2elZ z2$Bjc5+rw@Ogf<+3s&O`4E4BWyE_YMdiwelX}PV*jY}SyD;zzm#^RzSMeET3xc>#106Nd=-8Ltqau?3QUiBs@MO-}1O4FY1%>hK7}XS0lZ4 ziF~7}P9|1TYXNDOc)c5V0tND>nwn19+?!8F)%p}4=PeRH`Y$U9H+MFDj@YW?RTuIs k6P151@k~RTe=nhV&8N!vw$fj|yaz9@5B!e);2wD4Z#-RJ!vFvP literal 0 HcmV?d00001 diff --git a/docs/research plan/fig/uppsla_university.png b/docs/project plan/fig/uppsla_university.png similarity index 100% rename from docs/research plan/fig/uppsla_university.png rename to docs/project plan/fig/uppsla_university.png diff --git a/docs/research plan/mydefs.tex b/docs/project plan/mydefs.tex similarity index 85% rename from docs/research plan/mydefs.tex rename to docs/project plan/mydefs.tex index aa20de6..a0d6259 100644 --- a/docs/research plan/mydefs.tex +++ b/docs/project plan/mydefs.tex @@ -8,15 +8,15 @@ %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% \newcommand{\mytitle}{Flexibel Fluorosence Intensity Measurement Platform} -\newcommand{\mytypeofwork}{Research Plan [DRAFT]} +\newcommand{\mytypeofwork}{Project Plan} \newcommand{\mycourse}{UppSense} \newcommand{\myuniversity}{Uppsala University} \newcommand{\mydepartement}{Information Technology} \newcommand{\myauthora}{Elmar van Rijnswou (Elmar.Vanrijnswou.9818@student.uu.se)} \newcommand{\myauthorb}{Maximilian Stiefel (Maximilian.Stiefel.8233@student.uu.se)} -\newcommand{\myauthorc}{Rasmus Ostberg (Rasmus.Ostberg.0785@student.uu.se)} +\newcommand{\myauthorc}{} \newcommand{\myduedate}{2017-17-10 13:00} -\newcommand{\mytutor}{Gemma Mestres (gemma.mestres@angstrom.uu.se)} +\newcommand{\mytutor}{Gemma Mestres (gemma.mestres@angstrom.uu.se), Masood Kamali-Moghaddam (masood.kamali@igp.uu.se)} \newcommand{\mykeywords}{} \newcommand{\myperiod}{September 2016 - September 2017} -\newcommand{\myrev}{0.1} +\newcommand{\myrev}{0.2} diff --git a/docs/research plan/engineering_rp.tex b/docs/project plan/project_plan.tex similarity index 58% rename from docs/research plan/engineering_rp.tex rename to docs/project plan/project_plan.tex index 1c801a2..448886f 100644 --- a/docs/research plan/engineering_rp.tex +++ b/docs/project plan/project_plan.tex @@ -1,6 +1,6 @@ %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% %% Author: Maximilian Stiefel -%% Date: z 17.03.2017 +%% Date: 31.03.2017 %% University: Uppsala Universitet %% Department: Institutionen för informationsteknologi %% Course: UppSense @@ -137,17 +137,17 @@ bmargin={2.5cm}]{geometry} \caption{Rough sketch of the system architecture.} \label{fig:block} \end{figure} -In figure \ref{fig:block} one can see a rough sketch of the system architecture, which is planned. The signal flow is from the left to the right. First the assay has to be excited, which is done by a voltage source connected to a light emitting diode (LED). This can also be another voltage controlled source of light. Hence this has TBD. The light source however is controlled by software (Microcontroller, µC), which is done by a simple e.g. transistor circuit. Using this approach has the advantage, that one can mix up the received signal to a higher band by switching the light source on and off with a sine wave of e.g. 1 kHz. By doing so one can suppress noise. In this case a simple mixer implemented in software can be used for instance to mix down the signal again and to process it. +In figure \ref{fig:block} one can see a rough sketch of the system architecture, which is planned. The signal flow is from the left to the right. First the assay has to be excited, which is done by a voltage source connected to a light emitting diode (LED). This can also be another voltage controlled source of light. Hence this has TBD. The light source however is controlled by software (Microcontroller, µC), which is done by a simple e.g. transistor circuit. Using this approach has the advantage, that one can mix up the received signal to a higher band by switching the light source on and off with a rectangular signal of e.g. 1 kHz. By doing so one can suppress noise. In this case one simply compares the average value when turned on to the average value when turned off. The difference is the value one is looking for. \newpar -The emitted light has to be filtered. As the wavelengths in this case are in the nm region, a optical filter is needed. Behind the filter a photo diode is located, which converts the light signal to an electrical signal. This signal probably has to be processed in a analog way (e.g. amplification and filtering) before it is transfered in the digital world (analog-digital converter, ADC). In the digital world one has a lot of possibilities. The signal will anyways be transfered to a phone via bluetooth. Moreover the phone provides huge computing capacities, which is one reason why the data should be transmitted. +The emitted light has to be filtered. As the wavelengths in this case are in the nm region, a optical filter is needed. Behind the filter a photo diode is located, which converts the light signal to an electrical signal. This signal probably has to be processed in a analog way (e.g. amplification and filtering) before it is transfered in the digital world (analog-digital converter, ADC). In the digital world one has a lot of possibilities. The first idea was to transmit the data via bluetooth to a phone. As one egineering team member jumped off and another one can not contribute full-time the strategy has been changed to use a simple OLED instead as a UI. This can be extended by e.g. buttons. \section{Realization} -The idea is to have a printed circuit board (PCB). On this board the light source as well as the sink shall be placed on the bottom side. Ont he top side one can mount the µC and a bluetooth infrastructure. So far, a bluetooth standard shall be used to enable communication to the phone. Multiple PCBs shall be ordered, which either are having different light sources and sinks or which enable the attachement (soldering) of different light sources ands sinks. A rechargeable battery (e.g. phone battery) shall be the power source. +The idea is to have a printed circuit board (PCB). On this board the light source as well as the sink shall be placed on the bottom side. On the top side one can mount the µC and the UI. Multiple PCBs shall be ordered, which either are having different light sources and sinks or which enable the attachement (soldering) of different light sources and sinks. A USB jack shall be used as power source. So one can easily use a power bank. \newpar -The optical filter can be mounted with epoxy resin on the PCB. Spacing bolts can be used to attach the PCB on a plate, where one can find a mechanism to easily fix the blood probe chip with that sample. Futhermore a black box which one can put over the so far depicted structure, has to be designed. This black box supresses light (noise) from the environment. Mechanical sketches have to be provided in a later revision of this document. +The optical filter can be mounted with epoxy resin on the PCB. Spacing bolts can be used to attach the PCB on a plate, where one can find a mechanism to easily fix the blood probe chip. Futhermore a black box which one can put over the so far depicted structure, has to be designed. This black box supresses light (noise) from the environment. Mechanical sketches, preferably created with a 3D CAD software, have to be provided in a later revision of this document. The mechanics can be 3D printed in house. \section{Frabrication} -The PCBs can be fabricated in China. This is an example of a manufacturer: \url{https://www.elecrow.com/10pcs-2-layer-pcb.html}. The lead time until one can actually work with designed PCBs is roughly three weeks including the assembling and soldering, which has to be done by the egineering subgroup. +The PCBs can be fabricated in China. This is an example of a manufacturer: \url{https://www.elecrow.com/10pcs-2-layer-pcb.html}. The lead time until one can actually work with designed PCBs is roughly three weeks including the assembling and soldering, which has to be done by the egineering subgroup. Moreover simpler PCBs can be etched at the university. %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% % Budget @@ -168,25 +168,28 @@ Assembling & 0 \$ & can be done by the engineering subgroup \caption{Rough budget estimation for one prototype.} \label{tab:prototype_budget} \end{table} -In table \ref{tab:prototype_budget} one can see the rough costs estimation to build one prototype. This one prototype, however, subsumes multiple PCBs, which can be used for multiple assays, which have the common denominator of using a fluoresence technique. The component price also includes a lens and light source. The electrical components will roughly be 60 euros per product. -\section{Estimation Of Product Price} -The estimation of the product price depends on the techniques being used. For now there will be looked at a laser as a light source, which costs around 10 dollars. For the logic part a bluetooth service on chip(SoC) will be used. This saves cost as both the bluetooth controller and the microcontroller will be integrated in one package. The NRF52832 seems like a good pick, as knowledge about the SoC is already among the team which will increase productivity. The SoC, including passives, will cost around 15 dollar. To power everything, multiple Dc/Dc converters will be used, which will roughly come down to a price of 15 dollar. The analogue part requires a accurate high sensitive photodiode, and an amplification circuit. Which will be in the range of 15-25 dollar depending on the requirements of the photodiode. -%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% -% Gantt -%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% -\chapter{Research} -In order to facilitate all the functionality, some research will be done to acquire the required knowledge. This research will be targeted towards three fields: Analogue processing, Digital communications, reception of real world signals. -\section{Reception} -The real world signal will have to be translated into an electrical signal. This can be either analogue or digital, depending on the sensors used. Different photodiodes are available on the market. Some of which contain a filter. Investigation has to be done to get a better understanding of the filters, and what drawbacks there are when buying a photodiode with filter vs buying a photodiode without. Also some photodiodes contain an internal ic that either does amplification and/or analogue-digital conversion. Once the real world signal has been converted to a electrical signal, it will have to be amplified. The signal will possibly have to be translated to a digital value, depending on the requirements and capabilities of the microcontroller. -\section{Processing} -The modulating of the light source will have to be investigated. If this is a option that indeed will increase the signal to noise level, then the effect will have to be demodulated on the microcontroller. Once this is done other filter designs might have to be implemented depending on the quality and fluctuation of the incoming signal. -\section{Communications} -Once all this information is available, a way to show it to the user has to be developed. This will be done trough bluetooth, as discussed in earlier chapters. More information has to be gathered about the different bluetooth attributes and whether available Generic Attributes (GATT) can be used or if a serial connection is required. A serial connection would require some sort of higher level protocol, which also has to be looked into. Once the information is received by the mobile phone of the designated user it will have to be processed and shown on the screen. -%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% -% Gantt +It is so far quite hard to estimate the exact product price as a lot of choices concerning the hardware have not been made. In the end when we know with which components one device is built up we can calculate a product price depending on how many devices are produced. + +%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +% Next Steps %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% -\chapter{Gantt} -A Gantt chart will be produced after Wednesday 29th of march, as new information will be obtained which is crucial for specifics of the project. +\chapter{Next steps} +\section{Interfacing with the Assay Team} +\begin{figure}[H] + \includegraphics[width=\textwidth]{./fig/spectra.png} + \caption{Spectral characteristics of the two fluorescent dyes, which have been targeted so far.} + \label{fig:assays} +\end{figure} +The assay team has so far targeted two different products, which they want to use to generate fluoresence light emission depending on the concentration of the so-called NT-ProBNP. It is now the task of the egineering team to put the required hardware together for the given spectral features. The two main parts as already emphasized above are the light emission and the light reception. For each product one has to set up a series of experiments to play with different parameters. For the first attempts this only includes analog parts and an oscilloscope. The challenge is mainly, that we do not know so far how much light will be emitted. Is it visible? Is it a few photons? Also we do not know how constant the light emission has to be: Do we need an extra controller for that? Many lasers for instance provide an internal receiving photodiode, which is highly coupled with the sending diode. So one can use a software controller to keep the light emission pecisely at one point. Moreover we do not know so far how dark it should be arround the sample. + +\section{First Experiments} +One needs to design a circuit with optics, which is delivering an analog voltage at the output, which is dependent on the concentration of NT-proBNP. To build this circuit one has to determine which components are used also one has to copare different standard approaches how to monitor a photo diode with an Opamp. The thing, which has to be figured out in the end is, which gain is required, that the maximum and minimum light emission is visible as an electrical signal. Also the maximum output voltage should be equal to the reference voltage and the minimum output voltage should be 0 V to get the highest resolution. To develop this circuit LTSpice will be used as well as KiCAD. Most likely this PCB will be etched within the university. As soon as these experiments work, one can start working with a microcontroller. +\newpar +The experiments can be carried out together with the biomedical colleagues in a dark room with and oscilloscope and a signal generator. Maybe three different circuits will be put on one PCB to make a simultaneous reception possible. + +\section{Lot of Programming} +As soon as we found an essay and a corresponding analog circuit, which works a microcontoller will be chosen and everything will be put together on one board. This microcontroller could be the NRF52832, MSP432 or a simple ATmega328P. The data has to be sampled and some calculations have to be done. Finally the data has to be displayed on an OLED. One important requirement for the whole team is, that the device is small as it has to be transported to Eindhoven for the competition in december. + \printbibliography[heading=bibintoc] \clearpage diff --git a/docs/research plan/titlepage.tex b/docs/project plan/titlepage.tex similarity index 95% rename from docs/research plan/titlepage.tex rename to docs/project plan/titlepage.tex index 78c9b6d..6274a64 100644 --- a/docs/research plan/titlepage.tex +++ b/docs/project plan/titlepage.tex @@ -37,9 +37,9 @@ at \myuniversity\\ in the Departement of \mydepartement\\ \vspace*{2em} - \myauthora{}, \myauthorb{} and \myauthorc\\ + \myauthora{} and \myauthorb{}\\ \vspace*{2em} - Deadline: \myduedate\\ +% Deadline: \myduedate\\ \vspace*{3em} \vfill %---------------------- Table ---------------------------------------------------- diff --git a/docs/research plan/doc/code_structure.tex b/docs/research plan/doc/code_structure.tex deleted file mode 100644 index c219f57..0000000 --- a/docs/research plan/doc/code_structure.tex +++ /dev/null @@ -1,25 +0,0 @@ -The code is structured in a layered approach. This has multiple advantages. It's now possible to change microcontroller platform by only having to port the platform layer. Or if a different screen driver is used, only the software driver will have to be ported. Or of the application is meant to be changed, the application layer has to be adjusted without any changes on the tightly integrated platform code.\\ -Other advantages are the reduction of having to test the whole system time after time, as it is possible to rely on the underlying layer if that is tested accordingly.\\ -\begin{figure}[H] - \centering - \label{fig:code_structure} - \includegraphics[width=0.6\textwidth]{../block/code_structure.png} - \caption{The layered approach.} -\end{figure} -In fig. \ref{fig:code_structure} the structure is visualized and it can be seen how every layer depends on a lower level layer. Every block can be swapped with different components while the system keeps running, if implemented well without breaches of layering.\newpar -To make this layered code structure a reality, a small operating system has been developed which allows event driven communication, and a modular approach for driver and sub-systems. This modular approach also makes power saving easier as a module will be uninitialized when it's not used anymore. Removing any possibility for the developer of the system to forget to disable the peripheral/module.\newpar -In fig.\ref{fig:block} the functions of the system can be observed, these functions can be translated into modules and a hierarchy can be created. Along with drivers for the hardware, some software drivers have been written as well. These software drivers provide support for internal development such as logging text in a proper fashion, and creating a way of executing commands on the device. All the platform drivers are interfaces to the system's peripheral. On top of this layer are the actual drivers. This houses the initialization code for the hardware drivers, and gives a way to access the functionality of the hardware without needing to know the registers/commands. The layer above this provides a more generic function set, where no knowledge of the hardware is needed anymore. This can then be used by the last layer, the Application layer. The application layer houses different applications, and a general state of the device can be found inside this layer. -\begin{figure}[H] - \centering - \label{fig:block_code} - \includegraphics[width=0.9\textwidth]{../block/block_code.png} - \caption{The system structure and hierarchy} -\end{figure} -above in fig. \ref{fig:block_code} this translation from system design to a more refined code structure is shown. A brief description of the required functionality for every block follows. -\subsection{App layer} The core in the App layer takes care of putting the system in the right state, and decides when to show which application on the screen. Furthermore it establishes the Wi-Fi connection and requests the data from the Internet which is required for all the other applications. Also it translates commands coming from the UART port into actions. The weather application takes data that is fed from the core, and shows the weather at a given position on the screen. The clock application listens to second pulses and shows the time on the screen, together with an alarm. The time is shown both analogue and digital. The time can be read from the Time module, or be set trough the Core, when it synchronizes with the Internet. The Screen Terminal application redirects all the log output and shows it on the screen. This can be used for debugging. Facebook and mail notifications are shown with the Social application. Finally the Lamp application houses information about different sunsets, and accounts for the right amount of red, green and blue in the light when the alarm goes off. -\subsection{Module layer} -The Wi-Fi module makes it possible to translate actions as getting the weather and the current time, to UDP and TCP requests. The Command module interprets data given from the Terminal and executes a given function paired with the command sent. Everything is written to the terminal via the Log module, which adds extra information to every message, such as the file name, line number and time. The screen module contains functions to draw shapes and images. Time reads the latest known time from the EEPROM after a total power failure, and keeps track of the current time via second pulses. To allow fades in the light, and stable output, a Controller module is available. This reads out the current voltage with which the lamp is being driven, and adjusts the PWM signal accordingly. -\subsection{Driver layer} -The ESP8266 Driver contains all the communication with the ESP8266 chip and keeps track of the network state. Its also possible to update the firmware of the ESP8266. Terminal is a software driver, with no external hardware dependencies by default. It can, however, use the SEP525F as output for text. The text can be formatted with the Terminal driver. In order to set up the screen and draw pixels, a screen driver is required. The OLED screen that is being used, has a SEP525F driver ic. For this a driver has been written. -\subsection{Platform layer} -Every required peripheral has a platform driver. The UART driver has an input and output buffer, and is being used with interrupts. Two UART channels are being used, one for the ESP8266 and one for the USB-Serial converter. The SEP525F has a SPI interface without a need or possibility to read, the SPI driver contains only blocking write. This should be changed to DMA and interrupt transfers. The EEPROM allows to save some settings and time and date on the clock. The timer sets up the real time counter for the second pulses, and has functions to set the PWM of every channel for the power board. And as last, the ADC peripheral. This scales the voltage read from the input pins and provides it to whoever needs the ADC values. \ No newline at end of file diff --git a/docs/research plan/doc/idea.tex b/docs/research plan/doc/idea.tex deleted file mode 100644 index dec06e3..0000000 --- a/docs/research plan/doc/idea.tex +++ /dev/null @@ -1 +0,0 @@ -It is a well-known fact, that it is quite dark in Sweden in the winter. In a strong winter every source of light is a source of happiness. This wakeup light, which is based on a strong light source (10 W RGB LED), is able to give one the optimal start into a dark winter day. The \textit{Swakeup} (from engl. "Swedish Wakeup Light") is communicating to the user through the light. It does not simply wake one up, but also gives one information about Facebook, latest mails, calendar and weather. The user interface consits besides of a big LED of an OLED screen. \textit{Swakeup} is also part of the \textit{IoT} as it has the ability to communicate via \textit{IEEE 802.11}. This of course enables a lot of possibilities e.g. connecting your phone to the wakeup light. A lot of effort has been put into the designing maxim, that everything should be as small as possible. The whole electronics fit on an base area of 5 cm x 4 cm. So the \textit{Swakeup} fits smoothly on the bedside table. And honestly: What is the last thing you are doing before you go to sleep? Right! You look on your phone. That is why \textit{Swakeup} comes with a USB charger for your e.g. phone as well. diff --git a/docs/research plan/doc/logic.tex b/docs/research plan/doc/logic.tex deleted file mode 100644 index 176f8e4..0000000 --- a/docs/research plan/doc/logic.tex +++ /dev/null @@ -1,10 +0,0 @@ -The logic board has been realized with the commercial CAD program Altium. The whole circuit fits on one sheet, as the logic board is not a complex design consisting out of very few IC's and passives. -\subsection{Design choices} -To facilitate all the functionality, certain IC's had to be chosen. The design requirements were to also have a debug option and a way to flash firmware without the need of a specialized programmer. That is why a USB-Serial bridge IC had to be found. There are nowadays quite a few different bridges on the market which all have the same basic functionality that is needed, namely: writing and receiving over a serial port. In order to keep the workload low so that the goal could be reached, an a-synchronous chip was sufficient. Therefor the CP2102 had been chosen. Other competitors with the same functionality were more expensive because they also offered extras that are not needed.\newpar -For \textit{IEEE 802.11} connection the ESP8266 has been chosen. This because it's the cheapest solution on the market, while also providing enough flash and performance to execute the tasks on the module itself, rather than needing a strong microcontroller with it. The ESP8266 itself is preprogrammed with a subset of the Hayes commands. But the firmware can be altered and software can be written in many different languages such as LUA, C++, Python.\newpar -In order to give the user feedback about the time, some interface is needed. As the weather and social media status should be visible this interface has to be a graphic screen of some sorts. Standard LCD's have the disadvantage that they have backlight, which causes annoyances during night when its on. As it acts as a large light source. And if the backlight is turned off, the user won't be able to read out the time. That is why an OLED screen has been chosen, based on the SEPS525F driver. OLED has the advantage that every pixel is lit individually, thus not creating a large light source when only time is displayed. Another advantage is the power consumption, which depends on the state of the screen. Fewer pixels being lit means a lower power consumption. \newpar -Only two microcontroller models were available to choose, as the course required to make use of an 8-bit AVR chip. Either the Atmega, or the more recent XMega. The Xmega has an updated design, and provides more efficient power management due to lower power supply while maintaining performance. Other advantages are a multi level interrupts and more advanced GPIO access. In order to facilitate possibilities to flash the ESP8266 module from the xmega, a large flash size is needed. The xmega with the smallest footprint and largest flash was chosen, which is the xmega128a4u. -\subsection{Implementation} -The XMega will be programmed trough a PDI port. Furthermore four ADC and PWM pins are exposed for use with the power board. The screen is connected via a flat flex connector, rather than soldered directly on the board. This allows for reuse of the screen on further revisions without having to purchase new screens.\newpar -An external crystal is used for the real time clock. This gives the possibility to use the 32 bit real time counter on the XMega which is more precise than using a build in oscillator. Two low side n-channel MOSFETS are connected for reverse voltage protection. \newpar -The full schematics can be found in Appendix \ref{append:logic}. \ No newline at end of file diff --git a/docs/research plan/doc/os.tex b/docs/research plan/doc/os.tex deleted file mode 100644 index 7a63299..0000000 --- a/docs/research plan/doc/os.tex +++ /dev/null @@ -1,35 +0,0 @@ -To make the previously discussed layering possible with communication, a small operating system has been developed with a minimum subset of functions. This subset is divided in two parts, Events and Modules. -\subsection{Module} -The modular system is realized by a struct. Every module contains a name, a usage counter, initialize and deinitialize functions, and dependencies. A module can be initialized by the "module\_init" function. This function will check for all the dependencies, whether they are already initialized. If this is not the case, it will be initialized including all the dependencies of the dependency. The "module\_init" function will also call the initialize function, which can be used for a module to set up registers for example. Once a module is not needed anymore, it can be deinitialized with the "module\_deinit" function. This function will decrease the usage counter for every dependency, and once a dependency is not used anymore it will also be deinitialized. This function also calls the deinitialize function of the given module. - -\begin{minted}[baselinestretch=1, fontsize=\small, linenos,frame=single,framesep=5pt]{C} -#define MODULE_DEFINE(VAR, DESC, INIT, DEINIT, ...) \ - Module VAR = { \ - .init = INIT, \ - .deinit = DEINIT, \ - .cnt = 0, \ - .name = DESC, \ - .deps = { __VA_ARGS__ } \ - } -MODULE_DEFINE(CORE, "Central core", init, deinit, &TIME, &COMMAND, &ESP8266); -\end{minted} -In the code above a simplified usage example can be seen of this modular system - -\subsection{Event} -For communication between modules an event based system has been realized. The developed system does not have any kind of priority for events, and events can be ignored if the event buffer is full because of a too heavy event. Every event contains a counter for debugging purposes, a pointer to data that can be given along with the event, and a description. An event can be created by use of the following code: -\begin{minted}[baselinestretch=1, fontsize=\small, linenos,frame=single,framesep=5pt]{C} -#define EVENT_REGISTER(eventName, desc)\ - Event eventName = \ - {.eventId = __COUNTER__, .data = 0, .description = desc, .descLen = sizeof(desc) } -EVENT_REGISTER(EVENT_UART_DELIMITER, "Got UART delimiter"); -\end{minted} -Events alone do not serve any purpose without listeners. Thus it's possible to register listeners in the event system. With the "event\_addListener" function a module can listen to a certain event and provide a function to be called upon the reception of such an event. This can be seen in the code below: -\begin{minted}[baselinestretch=1, fontsize=\small, linenos,frame=single,framesep=5pt]{C} -event_addListener(&EVENT_UART_DELIMITER, callback); -\end{minted} -The listeners can be removed with the "event\_removeListener" function. The adding listeners is usually done in the initialization function, as the modules require these events during the period that they are active. In order to fire an event to all the listeners the following example can be used: -\begin{minted}[baselinestretch=1, fontsize=\small, linenos,frame=single,framesep=5pt]{C} -event_fire(&EVENT_UART_DELIMITER, SYSTEM_ADDRESS_CAST (&delimiters[USART_ID][i])); -\end{minted} -This line of code will fire a EVENT\_UART\_DELIMITER event, and adds some information to go with it.\newpar -In order for these events to be processed the "event\_process" has to be called. This should be the only function called in the infinite while loop of the system. A way to save energy is to pass functions to the event system if the system is capable of a sleeping functionality. Now the system will sleep whenever there are no more events to process, or wake up when an interrupt occurs. diff --git a/docs/research plan/doc/outlook.tex b/docs/research plan/doc/outlook.tex deleted file mode 100644 index fa9143b..0000000 --- a/docs/research plan/doc/outlook.tex +++ /dev/null @@ -1,3 +0,0 @@ -Hopefully the LED driver will work with the next revision. The new boards have not arrived until the deadline. As soon as the hardware is working, the controllers will be implemented in software. -\newpar -Aditional funtionality will be implemented e.g. connecting you calendar to the device and seing your daily agenda when you wake up. Also there is no housing yet. diff --git a/docs/research plan/doc/overview.tex b/docs/research plan/doc/overview.tex deleted file mode 100644 index f32a888..0000000 --- a/docs/research plan/doc/overview.tex +++ /dev/null @@ -1,13 +0,0 @@ -\begin{figure}[H] - \centering - \label{fig:block} - \includegraphics[width=0.6\textwidth]{../block/block.png} - \caption{Blockdiagram of the Swakeup wakeup light.} -\end{figure} -In fig. \ref{fig:block} the actual system architecture from an abstract point of view is displayed. One can clearly see, that the system is divided into two physical boards. The logic board consists of the µC, a serial connection infrastructure, an OLED screen, an \textit{IEEE 802.11} module, a ISP programming infrastructure and some LEDs/a button (UI). -\newpar -The power board takes the 20 V input of a low-cost power supply and breaks it down to 2.8 V (Vcc), 5 V for phone charging and the power which is needed for the RGB LED. -\newpar -The partitioning of the system functionalities on two boards has a bunch of advatages: Two people worked on this project, so each could develop a PCB; It is quite common to seperate signals from power lines out of EMI reasons; There was simply not eanough space on one two-layer board for the whole system keeping a base area of 5 cm x 4 cm. -\newpar -The two boards are connected together through four headers. By these headers an electrical and mechanical connection is maintained. The headers allow a feedback from the LED driver on the power board to the logic board (ADC). Also the control variable (PWM) comes via the headers from the µC to the LED driver. The 2.8 V Vcc is produced and regulated on the power board and is also availabe at one header. Another voltage available from one header is the OLED driver voltage. diff --git a/docs/research plan/doc/power.tex b/docs/research plan/doc/power.tex deleted file mode 100644 index 8d0d992..0000000 --- a/docs/research plan/doc/power.tex +++ /dev/null @@ -1,17 +0,0 @@ -The board design has been made in \textit{KiCAD}. \textit{Git} was used for version control. In the schematics (Appendix \ref{append:power}) one can see that the whole board consists of three main building blocks: Connectors, a LED driver with feedback and two step-down converters. A part of the LED driver is also "abused" to drive the OLED. -\subsection{Microcontroller Power Supply} -It is the LM2840 which in combination with a simple voltage divider ensures the 2.8 V for Vcc. All step-down converters use the same inductor with a value of 33 uH. It is a low-cost, quite small, shielded inductor which is ment to be used for switching power supplies. Moreover all step-down converters are enhanced with a SMD schottky diode and a of course at least one SMD capacitor for smoothing the output signal. As it is good practice to do so all ICs are making use of decoupling capacitors. -\subsection{Designated USB Charging Port} -For charging ones phone the TS30012, another step-down converter IC, is used. The feedback voltage divider of this IC is already integrated and does not need to be provided externally as the IC provides fixed 5 V output. The output is connected to a USB connector type A. This IC can deliver up to 2 A. An interesting feature of the phone charging circuitry on the power board is the "Dedicated Charging Port" (DCP) functionality. The TPS2514 is a small, easy-to-use, 6-pin component, which complies to the USB standard and a majority of the minefield of propriatary standards to signal a DCP. Now you might ask: What does this mean? Well this means, that if you connect your IPhone, it will know, that it can draw more than 100 mA, which is the minimum current provided by a normal USB 2.0 port. Otherwise the current drawn by the phone will be limited. The charging functionality can be turned on and off via a GPIO pin. The TS30012 comes in a QFN16 package (pad pitch of 0.5 mm) to save space. -\subsection{HW Debugging} -For testing purposes a lot of test points have been included into the design. Futhermore there are LEDs for different voltages (e.g. Vcc). -\subsection{RGB LED Driver} -The LED driver consists of an actual power electronics part and a feedback part. The main idea is, that the current driven through the three color channels of the RGB LED (see fig. \ref{fig:led}) can be controlled by software (PID controller). In the power electronics part there are three analog circuits. Each circuit mainly consists of a p-channel MOSFET, which is switched by a NPN bipolar transistor. This bipolar transistor gets its intput signal from the µProcessor (PWM). By pulling the 20 V to GND the PMOS "sees" a negative gate-to-source voltage and opens. The additional bipolar transistor ensures, that the gate-source capacity is charged fastly as soon as the PWM NPN blocks. In this case the base is pulled up via \SI{10}{\kilo\ohm} to 20 V and as long as the collector (which has the same potential as the gate of the PMOS) does not also have 20 V the NPN keeps pumping charges into the gate-source capacity. The simple silicon diode ensures that the gate-charging NPN has no effect as soon as the PWM NPN opens. The rest of the circuit is again a standard step-down converter. At the output of every single color channel power circuit one can see a shunt resistor of \SI{0.1}{\ohm}. This shunt resistor is combined with a simple low-pass filter. The feedback signal is amplified by a differential amplifier which comes after the filter. The signal is supposed to be between 0 V and 1 V (if the internal reference voltage is used). By doing so one can use all bits of the ADC and therefore supress quantization noise. -\newpar -So as there are three color channels (red, green and blue) one needs three operational amplifiers. Hence the LM324QT, which provides four operational amplifiers, has been chosen. Of course the decision has been made to take QFN16 packaging once more to save even more space. An additional operational amplifier was now ready to be used as a digital-analog converter to drive the OLED screen (this was referred to earlier as "abuse"). For this reason a low-pass filter is attached to the input of the fourth opamp. Apart from that the opamp is configured just like a standard non-inverting amplifier. By software the OLED screen brightness is steerable. The feedback from the OLED is generated by a voltage divider. -\begin{figure} - \centering - \includegraphics[width=0.3\textwidth]{./fig/led.jpg} - \caption{10 W RGB LED} - \label{fig:led} -\end{figure} diff --git a/docs/research plan/doc/realization.tex b/docs/research plan/doc/realization.tex deleted file mode 100644 index 6793a20..0000000 --- a/docs/research plan/doc/realization.tex +++ /dev/null @@ -1,137 +0,0 @@ -The function of this chapter is to get a deeper understanding of the modules and code that has been written. Due to time constraints and hardware failure, the following modules were not realized: "Lamp, Social, Wi-Fi, Controller, ADC, EEPROM". Thus these modules will not be discussed in this chapter. -\subsection{Platform} -Starting with the most tightly with the microcontroller integrated layer. -\subsubsection{UART} -The UART module delivers all the communication to the USB-Serial converter and ESP8266. The code has been kept as generic as possible so that adjustments to the external hardware has no influence to the driver code. It would even be possible to move to a different xmega model with more USART ports since a macro is used for generating the interrupt code. Every USART port has a status, an array with delimiters, an in and output buffer, a sending status and an id. The status contains variables for the buffers, and allows for a ring-buffer usage. A ringbuffer is chosen as it has little overhead compared to lists or queues, the order of the data matters, and we don't expect to go outside of our given buffer capacity. The delimiters are used for the USART to listen to certain characters on the receiving data. Once there is a match an event will be fired. The example below shows the usage of this delimiter. -\begin{minted}[baselinestretch=1, fontsize=\small, linenos,frame=single,framesep=5pt]{C} -uint8_t uart_add_delimiter(char delimiter, USART_t * port); -static void callback(Event * event, uint8_t * data) { - if(event == EVENT_UART_DELIMITER){ - struct UartDelimiter * delimiter = (struct UartDelimiter*)data; - if (delimiter->port == &ESP_UART_PORT) { - //Read buffers etc - } - } -} -static uint8_t init(void) { - uart_add_delimiter('\n', &ESP_UART_PORT); - event_addListener(&EVENT_UART_DELIMITER, callback); - return 1; -} -\end{minted} -In this example, a certain module will tell the UART module to listen for a new line character, and the module will subscribe to the event. The UART module passes the delimiter information with it, so that there is knowledge about how much data can be read since the last delimiter event.\\ -The interrupts are generated with the macros that can be found at appendix \ref{append:usartinterruptgen}. -The following code can be used to generate the interrupt code for every USART channel:\newpage -\begin{minted}[baselinestretch=1, breaklines=true, fontsize=\small, linenos=true,frame=single,framesep=5pt]{C} -USARTRXCISR(USARTE0, DEBUG_UART, USARTE_ID, received); -USARTDREISR(USARTE0, DEBUG_UART, USARTE_ID); -USARTRXCISR(USARTD1, ESP_UART_PORT, USARTD_ID, ); -USARTDREISR(USARTD1, ESP_UART_PORT, USARTD_ID); -\end{minted} -Since the system could be writing to the buffer that is being handled in the interrupt, a lock has been implemented to prevent unexpected outcome. One lock waits while the lock signal is freed, while the other lock function returns a 0 upon failure to acquire the lock. -\begin{minted}[baselinestretch=1, fontsize=\small, linenos,frame=single,framesep=5pt]{C} -#define lock(id) while (outBufferLock[id]); outBufferLock[id] = 1 -#define unlock(id) outBufferLock[id] = 0 - -static inline uint8_t softlock(uint8_t id) { - if (outBufferLock[id]) return 0; - outBufferLock[id] = 1; - return 1; -} -\end{minted} -The USART on both channels have the same settings: 8 bit words, medium level interrupts and a baudrate of 115200. This baudrate can go higher once the whole system is tested with good results. -\subsubsection{SPI} -The SPI driver is incomplete as is, a lot of performance optimizations can and should be done. One of the biggest disadvantages at the moment is that it is blocked writing. When a lot of data is being sent consecutively by the SPI driver, the event buffer fills up and might even get full. Interrupt based design should be looked at and investigated, as this would still allow the events to be handled. The caveat with this, however, is that a large buffer has to be allocated for the SPI. And memory is costly. DMA is another technique to solve the problem, this would eliminate the need for a CPU at all and give the system all the time to process the other tasks. One needs to be cautious, as DMA has overhead on low data amounts. -\subsubsection{Timer} -The Timer design is incomplete, and only houses a RTC. Due to a hardware failure, the internal crystal is being used. Which implies a worse accuracy than an external one. However since the most recent time can be retrieved from the internet this is not a major issue. Future additions to the Timer module include: Alarm function for timeouts on waiting, PWM functionality and using the 32 RTC with the external crystal. The Timer module is set up to use it's overflow interrupt. The period is set to 1023. As soon as the counter reaches 1024, a second pulse event will get fired and the run time will get incremented. -\subsection{Driver} -One step up gives the communication with external hardware. -\subsubsection{SEPS525F} -As mentioned before, the screen uses a SEPS525F IC. This driver drives allows for driving screens with a resolution of up to 160x128 pixels with 18 bit combined color. This means that there are 6 bits for blue, 6 bits for green and 6 bits for red. However this would require to send 3 bytes for 2 bits of color precision. There is also a 16 bits combined color option available, which has been used in this driver. 5 bits for blue, 6 bits for green and 5 bits for red. A 24 bit color (8 bits per color, 0-255) can be converted with the following define: -\begin{minted}[baselinestretch=1, fontsize=\small, linenos,frame=single,framesep=5pt]{C} -#define SEPS525F_TO656(r,g,b)((r>>3)<<11)|((g>>2)<<5)|(b>>3) -\end{minted} -The SEPS525F has three data interfaces that could be used: SPI, RGB, Parallel. In retrospect a parallel interface would have given major performance advantages. However due to time constraints a SPI interface has been chosen. The clock frequency of the SPI is set at the CPU speed divided by two. Which is a clock of 8 MHz when not in any power saving mode.\newpar -The SEPS525F has two modes, a data mode and a command mode. With the command mode a register can be set. In order to achieve this the register will be written first, while clearing the RS and the CS pin. Once the register is written, the RS pin will be set while keeping the CS pin low. After the data is written the CS pin will be set. -\begin{minted}[baselinestretch=1, fontsize=\small, linenos,frame=single,framesep=5pt]{C} -static void SEPS525F_reg(int idx, int value) { - SEPS525F_PORT.OUTCLR = SEPS525F_CSB | SEPS525F_RS; - spi_write_blocked(idx); - SEPS525F_PORT.OUTSET = SEPS525F_RS; - SEPS525F_PORT.OUTCLR = SEPS525F_CSB; - spi_write_blocked(value); - SEPS525F_PORT.OUTSET = SEPS525F_CSB; -} -\end{minted} -This can be seen in the code above. The driver gives the possibility to draw a single pixel, but it's significantly faster to write multiple pixels at once. For this a region that will be drawn on has to be set. Then the driver ic will expect a certain amount of pixels, which have to be written as data. According to the datasheet special scrolling features should be available, however this is not explained later on in the datasheet. There are a lot of parameters that can be set for the screen, such as duty cycle, frame rate, driving currents. The explanation of all these can be found in the datasheet, just like the recommended values. The given initialization sequence of the datasheet did not work, so an Arduino library has been ported successfully\cite{github:oled}. -\subsubsection{Terminal} -The Terminal driver gives the possibility to format strings, and outputs the formatted strings to a sink. The default sink writes to the USB-Serial IC via the UART driver. Formatting is done via the tinyprintf library\cite{sparetimelabs:tinyprintf} and implements the 'd' 'u' 'c' 's' 'x' 'X' formats. -\subsubsection{ESP8266} -The ESP8266 is a low cost Wi-Fi module which can be flashed with own firmware. In a next version this own firmware will be developed, however for now the standard firmware is used. UART is used to communicate with the module, via an Hayes command set based protocol\cite{lonestar:at}. The commands implemented allow for basic HTTP get requests. Due to time constraints it was not possible to finalize the usage of the module. Networks can be scanned, and a connection with a wireless action point can be established. But there is no functionality beyond that. -\subsection{Modules} -Although all the drivers talked about before make use of the Module system, they are not located on the Module layer. This is a naming convention mistake. -\subsubsection{Command} -The Command module has the purpose of setting up an accessible possibility to execute commands received by the USB-Serial connection. It is possible to register up to 26 commands. One for every letter in the alphabet. A command can be registered by use of the following code: -\begin{minted}[baselinestretch=1, fontsize=\small, linenos,frame=single,framesep=5pt]{C} -command_hook_description( - 'T', &terminalCommand, "Log sink T